summaryrefslogtreecommitdiff
path: root/cad/verilog
diff options
context:
space:
mode:
authordmcmahill <dmcmahill@pkgsrc.org>2009-03-11 02:08:08 +0000
committerdmcmahill <dmcmahill@pkgsrc.org>2009-03-11 02:08:08 +0000
commit5c4c910aca759c8e643fab941586245a1312b277 (patch)
tree323ecf9a9a8cab61090c67ac830745597f9d62bb /cad/verilog
parent96b6cf8d7f08c76cea4749ff9bb0250719367bbc (diff)
downloadpkgsrc-5c4c910aca759c8e643fab941586245a1312b277.tar.gz
update to verilog-0.8.7, the latest in the stable 0.8 series.
Release Notes for Icarus Verilog 0.8.7 none (but see below for other releases since the last version in pkgsrc) Release Notes for Icarus Verilog 0.8.6 This is a bug fix update of the 0.8 stable version of Icarus Verilog. The v0.8 series tries to remain as stable as possible while still fixing bugs that are safe to fix. Preprocessor: * Fix parse/preprocess of C-style comments in surpressed ifdef blocks. * Support leading underscore in preprocessor names. Compilation/elaboration issues: * Support min:typ:max expressions in more places. * Fix handling of @* non-input nets. * Do not support system functions in continuous assignments. * Do not support converting vectors to real. * Do not support constant real valued expressions. Run-time ussues: * Fix comparison of negative numbers that happen to be equal. * Fix bad execution of certain expressions caused by code generator bad lookaside handling. * Proper error message for invalid bit selects. * Implement $printtimescale system task. Compiler build issues: * Compile OK evel if libbzip2 is not installed, but do not support LXT2 in that case. Release Notes for Icarus Verilog 0.8.5 This is mostly a bug-fix release for the 0.8 stable branch. * Fix assertions from unary operators with certain operand widths. * Fix incorrect comparison results when in certain cases comparing two signed negative integers. * Latch synthesis has been added to the core synthesizer * Add nand gate support to the edif code generator * Minor compile time errors/warnings * Improved messages from the configure script Release Notes for Icarus Verilog 0.8.4 This is a bug-fix release for the 0.8 stable branch. The 0.8 stable branch updates do not include significant new features (they go into the devel branch instead) nor fixes that are deemed to drastic to include in a stable tool. - Various source code portability problems have been fixed. The 0.8 no longer compiles on many modern systems. - Various bug reports have been put to rest with this release. Some parser errors have been fixed (including a few regressions from 0.8.3) and a few new syntaxes added. - A variety of systhesis bug fixes and enhancements are included in 0.8.4. Currently, synthesis is only actively supported in the 0.8 branch, and the 0.8.4 is the most complete.
Diffstat (limited to 'cad/verilog')
-rw-r--r--cad/verilog/Makefile4
-rw-r--r--cad/verilog/PLIST70
-rw-r--r--cad/verilog/distinfo9
3 files changed, 41 insertions, 42 deletions
diff --git a/cad/verilog/Makefile b/cad/verilog/Makefile
index b298030760e..160c5d40eca 100644
--- a/cad/verilog/Makefile
+++ b/cad/verilog/Makefile
@@ -1,7 +1,7 @@
-# $NetBSD: Makefile,v 1.30 2006/10/04 23:52:47 dmcmahill Exp $
+# $NetBSD: Makefile,v 1.31 2009/03/11 02:08:08 dmcmahill Exp $
#
-DISTNAME= verilog-0.8.3
+DISTNAME= verilog-0.8.7
CATEGORIES= cad
MASTER_SITES= ftp://icarus.com/pub/eda/verilog/v0.8/
diff --git a/cad/verilog/PLIST b/cad/verilog/PLIST
index 1327e91cff3..6207a76cc5e 100644
--- a/cad/verilog/PLIST
+++ b/cad/verilog/PLIST
@@ -1,35 +1,35 @@
-@comment $NetBSD: PLIST,v 1.6 2006/05/21 08:00:50 rillig Exp $
-bin/iverilog
-bin/iverilog-vpi
-bin/vvp
-include/_pli_types.h
-include/acc_user.h
-include/ivl_target.h
-include/veriuser.h
-include/vpi_user.h
-lib/ivl/cadpli.vpl
-lib/ivl/edif-s.conf
-lib/ivl/edif.conf
-lib/ivl/edif.tgt
-lib/ivl/fpga-s.conf
-lib/ivl/fpga.conf
-lib/ivl/fpga.tgt
-lib/ivl/ivl
-lib/ivl/ivlpp
-lib/ivl/null-s.conf
-lib/ivl/null.conf
-lib/ivl/null.tgt
-lib/ivl/system.sft
-lib/ivl/system.vpi
-lib/ivl/vvp-s.conf
-lib/ivl/vvp.conf
-lib/ivl/vvp.tgt
-lib/ivl/xnf-s.conf
-lib/ivl/xnf.conf
-lib/libveriuser.a
-lib/libvpi.a
-man/man1/iverilog-fpga.1
-man/man1/iverilog-vpi.1
-man/man1/iverilog.1
-man/man1/vvp.1
-@dirrm lib/ivl
+@comment $NetBSD: PLIST,v 1.7 2009/03/11 02:08:08 dmcmahill Exp $
+bin/iverilog-0.8
+bin/iverilog-vpi-0.8
+bin/vvp-0.8
+include/iverilog-0.8/_pli_types.h
+include/iverilog-0.8/acc_user.h
+include/iverilog-0.8/ivl_target.h
+include/iverilog-0.8/veriuser.h
+include/iverilog-0.8/vpi_user.h
+lib/ivl-0.8/cadpli.vpl
+lib/ivl-0.8/edif-s.conf
+lib/ivl-0.8/edif.conf
+lib/ivl-0.8/edif.tgt
+lib/ivl-0.8/fpga-s.conf
+lib/ivl-0.8/fpga.conf
+lib/ivl-0.8/fpga.tgt
+lib/ivl-0.8/ivl
+lib/ivl-0.8/ivlpp
+lib/ivl-0.8/null-s.conf
+lib/ivl-0.8/null.conf
+lib/ivl-0.8/null.tgt
+lib/ivl-0.8/system.sft
+lib/ivl-0.8/system.vpi
+lib/ivl-0.8/vvp-s.conf
+lib/ivl-0.8/vvp.conf
+lib/ivl-0.8/vvp.tgt
+lib/ivl-0.8/xnf-s.conf
+lib/ivl-0.8/xnf.conf
+lib/libveriuser-0.8.a
+lib/libvpi-0.8.a
+man/man1/iverilog-fpga-0.8.1
+man/man1/iverilog-vpi-0.8.1
+man/man1/iverilog-0.8.1
+man/man1/vvp-0.8.1
+@dirrm lib/ivl-0.8
diff --git a/cad/verilog/distinfo b/cad/verilog/distinfo
index aa88b5cc0b2..f29237988a4 100644
--- a/cad/verilog/distinfo
+++ b/cad/verilog/distinfo
@@ -1,7 +1,6 @@
-$NetBSD: distinfo,v 1.12 2007/08/05 17:16:29 joerg Exp $
+$NetBSD: distinfo,v 1.13 2009/03/11 02:08:08 dmcmahill Exp $
-SHA1 (verilog-0.8.3.tar.gz) = c3fd64bcdc51d44bde1fd5e168cdff761057c798
-RMD160 (verilog-0.8.3.tar.gz) = b45c7b9811f99ad833e6bf8e5508a72472b7b8f7
-Size (verilog-0.8.3.tar.gz) = 1568131 bytes
-SHA1 (patch-aa) = 910b7816e272b0b9ee9c530ca128f3fbb5aaf76a
+SHA1 (verilog-0.8.7.tar.gz) = 814f12a99463a637cb13e0d86755f762c5d90270
+RMD160 (verilog-0.8.7.tar.gz) = 63fb4f9e1e85157010d480e5d66513d6c9ac4326
+Size (verilog-0.8.7.tar.gz) = 1273972 bytes
SHA1 (patch-ad) = 41628d48a697499e71471defccb596426a098da7