summaryrefslogtreecommitdiff
path: root/cad
diff options
context:
space:
mode:
authorjoerg <joerg@pkgsrc.org>2018-12-27 16:01:54 +0000
committerjoerg <joerg@pkgsrc.org>2018-12-27 16:01:54 +0000
commit207591608961aa982a50723e9322941af747369b (patch)
tree244d5ed03968698a4c7cb877fbe71f7b2a1fa331 /cad
parentb39b14e1e8fbc6608ea92040d902aab78f42b06e (diff)
downloadpkgsrc-207591608961aa982a50723e9322941af747369b.tar.gz
async is a keyword for Python 3.7+, so rename it. Bump revision
Diffstat (limited to 'cad')
-rw-r--r--cad/py-MyHDL/Makefile3
-rw-r--r--cad/py-MyHDL/distinfo4
-rw-r--r--cad/py-MyHDL/patches/patch-myhdl___always__seq.py33
-rw-r--r--cad/py-MyHDL/patches/patch-myhdl_conversion___toVHDL.py46
4 files changed, 84 insertions, 2 deletions
diff --git a/cad/py-MyHDL/Makefile b/cad/py-MyHDL/Makefile
index 2bc99da007d..221a25aae53 100644
--- a/cad/py-MyHDL/Makefile
+++ b/cad/py-MyHDL/Makefile
@@ -1,8 +1,9 @@
-# $NetBSD: Makefile,v 1.24 2016/10/09 03:15:57 kamil Exp $
+# $NetBSD: Makefile,v 1.25 2018/12/27 16:01:54 joerg Exp $
.include "Makefile.common"
PKGNAME= ${PYPKGPREFIX}-${DISTNAME:S/myhdl/MyHDL/}
+PKGREVISION= 1
MAINTAINER= pkgsrc-users@NetBSD.org
COMMENT= Hardware description in Python
diff --git a/cad/py-MyHDL/distinfo b/cad/py-MyHDL/distinfo
index f4a7ea78905..fb9f1ef6d0d 100644
--- a/cad/py-MyHDL/distinfo
+++ b/cad/py-MyHDL/distinfo
@@ -1,6 +1,8 @@
-$NetBSD: distinfo,v 1.10 2018/04/12 15:08:58 mef Exp $
+$NetBSD: distinfo,v 1.11 2018/12/27 16:01:54 joerg Exp $
SHA1 (myhdl-0.10.tar.gz) = d766a1a556e9dce23af07d1b378fbcc6e3b86494
RMD160 (myhdl-0.10.tar.gz) = 234d3f3c5d2d84e548e317e1b85bc28efbfd7b14
SHA512 (myhdl-0.10.tar.gz) = b250c8b09a2cfbd2a70da60d567c8bcb09747c3e8df536cdd28ad49a8a6fbe5a28395295a6ed6046ced745b617fb3804ceb0f83d9b34db7c70701148ae7db25b
Size (myhdl-0.10.tar.gz) = 1205466 bytes
+SHA1 (patch-myhdl___always__seq.py) = 2ba91a28a40f5582a7ab509ee8e619ce92333e92
+SHA1 (patch-myhdl_conversion___toVHDL.py) = 85651035475d908749306dfd57895060582a2051
diff --git a/cad/py-MyHDL/patches/patch-myhdl___always__seq.py b/cad/py-MyHDL/patches/patch-myhdl___always__seq.py
new file mode 100644
index 00000000000..e7b40cbf4a3
--- /dev/null
+++ b/cad/py-MyHDL/patches/patch-myhdl___always__seq.py
@@ -0,0 +1,33 @@
+$NetBSD: patch-myhdl___always__seq.py,v 1.1 2018/12/27 16:01:54 joerg Exp $
+
+--- myhdl/_always_seq.py.orig 2018-12-25 21:39:40.951802739 +0000
++++ myhdl/_always_seq.py
+@@ -45,7 +45,7 @@ _error.EmbeddedFunction = "embedded func
+
+ class ResetSignal(_Signal):
+
+- def __init__(self, val, active, async):
++ def __init__(self, val, active, is_async):
+ """ Construct a ResetSignal.
+
+ This is to be used in conjunction with the always_seq decorator,
+@@ -53,7 +53,7 @@ class ResetSignal(_Signal):
+ """
+ _Signal.__init__(self, bool(val))
+ self.active = bool(active)
+- self.async = async
++ self.is_async = is_async
+
+
+ def always_seq(edge, reset):
+@@ -91,8 +91,8 @@ class _AlwaysSeq(_Always):
+ if reset is not None:
+ self.genfunc = self.genfunc_reset
+ active = self.reset.active
+- async = self.reset.async
+- if async:
++ is_async = self.reset.is_async
++ if is_async:
+ if active:
+ senslist.append(reset.posedge)
+ else:
diff --git a/cad/py-MyHDL/patches/patch-myhdl_conversion___toVHDL.py b/cad/py-MyHDL/patches/patch-myhdl_conversion___toVHDL.py
new file mode 100644
index 00000000000..af5e6713fa1
--- /dev/null
+++ b/cad/py-MyHDL/patches/patch-myhdl_conversion___toVHDL.py
@@ -0,0 +1,46 @@
+$NetBSD: patch-myhdl_conversion___toVHDL.py,v 1.1 2018/12/27 16:01:54 joerg Exp $
+
+--- myhdl/conversion/_toVHDL.py.orig 2018-12-25 21:40:10.283137098 +0000
++++ myhdl/conversion/_toVHDL.py
+@@ -1838,12 +1838,12 @@ class _ConvertAlwaysSeqVisitor(_ConvertV
+ senslist = self.tree.senslist
+ edge = senslist[0]
+ reset = self.tree.reset
+- async = reset is not None and reset.async
++ is_async = reset is not None and reset.is_async
+ sigregs = self.tree.sigregs
+ varregs = self.tree.varregs
+ self.write("%s: process (" % self.tree.name)
+ self.write(edge.sig)
+- if async:
++ if is_async:
+ self.write(', ')
+ self.write(reset)
+ self.write(") is")
+@@ -1853,7 +1853,7 @@ class _ConvertAlwaysSeqVisitor(_ConvertV
+ self.writeline()
+ self.write("begin")
+ self.indent()
+- if not async:
++ if not is_async:
+ self.writeline()
+ self.write("if %s then" % edge._toVHDL())
+ self.indent()
+@@ -1870,7 +1870,7 @@ class _ConvertAlwaysSeqVisitor(_ConvertV
+ self.write("%s := %s;" % (n, _convertInitVal(reg, init)))
+ self.dedent()
+ self.writeline()
+- if async:
++ if is_async:
+ self.write("elsif %s then" % edge._toVHDL())
+ else:
+ self.write("else")
+@@ -1881,7 +1881,7 @@ class _ConvertAlwaysSeqVisitor(_ConvertV
+ self.writeline()
+ self.write("end if;")
+ self.dedent()
+- if not async:
++ if not is_async:
+ self.writeline()
+ self.write("end if;")
+ self.dedent()