summaryrefslogtreecommitdiff
path: root/cad
diff options
context:
space:
mode:
authorryoon <ryoon@pkgsrc.org>2020-10-03 12:21:42 +0000
committerryoon <ryoon@pkgsrc.org>2020-10-03 12:21:42 +0000
commit06a62b978f2ca3f54f38c968197b55ab3ea1511e (patch)
tree8e26cfefd84484f89d9b80588f2d95843436d5ba /cad
parent67dc0592a32a30748bf0a9913054af138a5f23ce (diff)
downloadpkgsrc-06a62b978f2ca3f54f38c968197b55ab3ea1511e.tar.gz
verilator: Update to 4.100
Changelog: * Verilator 4.100 2020-09-07 ** C++11 or newer compilers are now required. ** SystemC 2.3.0 or newer (SYSTEMC_VERSION >= 20111121) is now required. ** Support hierarchical Verilation (#2206). [Yutetsu TAKATSUKASA] **** Support (with limitations) class extern, class extends, virtual class. **** Support $urandom, $urandom_range without stability. **** Fix false DECLFILENAME on black-boxed modules (#2430). [Philipp Wagner] **** Fix naming of "id : begin" blocks. **** Fix class constructor error on assignments to const. **** Fix splitting eval functions with --output-split-cfuncs (#2368). [Geza Lore] **** Fix queues as class members (#2525). [nanduraj1] **** Add support for assume property. [Peter Monsson] * Verilator 4.040 2020-08-15 ** Version 4.040 is planned to be the final version that will support pre-C++11 compilers. Please move to C++11 or newer compilers. *** Fix arrayed interfaces, broke in 4.038 (#2468). [Josh Redford] **** Support $stable, $rose and $fell. (#2148) (#2501) [Peter Monsson] **** Support simple function localparams (#2461). [James Hanlon] **** Miscellaneous parsing error changes towards UVM support. **** Fix arrayed interfaces (#2469). [Josh Redford] **** Fix protect lib VCS warning. (#2479) [Julien Margetts] **** Fix combining different-width parameters (#2484). [abirkmanis] **** Fix protect-lib without sequential logic (#2492). [Yutetsu TAKATSUKASA] **** Fix V3Unknown from running with flat XML output (#2494). [James Hanlon] **** Fix non-32 bit conversion to float (#2495). [dsvf] **** Fix casting non-self-determined subexpressions (#2493). [phantom-killua] **** Fix SystemC net names (#2500). [Edgar E. Iglesias] **** Fix build with Bison 3.7 and newer (#2505). [Rupert Swarbrick] **** Fix slice of unpacked array (#2506) (#2507). [Yutetsu TAKATSUKASA] * Verilator 4.038 2020-07-11 ** Versions 4.038 and 4.040 are planned to be the final versions that will support pre-C++11 compilers. Please move to C++11 or newer compilers. *** Support VPI access to parameters and localparam. [Ludwig Rogiers] *** Support parsing (not elaboration, yet) of UVM. **** Add new UNSUPPORTED error code to replace most previous Unsupported: messages. **** With --bbox-unsup continue parsing on many (not all) UVM constructs. **** Support for-loop increments with commas. **** Support $swrite with arbitrary arguments. **** Support $writememb (#2450). [Fan Shupei] **** Fix OS X, Free BSD, and -m32 portability issues. [Geza Lore] **** Fix to flush FST trace on termination due to $stop or assertion failure. **** Fix part select error when multipling by power-of-two (#2413). [Conor McCullough] **** Fix division exception (#2460) [Kuoping Hsu] * Verilator 4.036 2020-06-06 ** OPT_FAST is now -Os by default. See the BENCHMARKING & OPTIMIZATION part of the manual if you experience issues with compilation speed. *** Configure now enables SystemC if it is installed as a system headers, e.g. with 'apt-get install systemc-dev'. *** Add --waiver-output flag that writes a verilator config file (.vlt) with waivers to the warnings emitted during a Verilator run. *** Support verilator_coverage --write-info for lcov HTML reports. Line Coverage now tracks all statement lines, not just branch lines. *** --output-split is now on by default. VM_PARALLEL_BUILDS is set by default iff the --output-split caused an actual file split to occur. --output-split-cfuncs and --output-split-ctrace now default to the value of --output-split. These changes should improve build times of medium and large designs with default options. User makefiles may require changes. *** The run-time library is now compiled with -Os by default. (#2369, #2373) **** Support multi channel descriptor I/O (#2190) [Stephen Henry] **** Support $countbits. (#2287) [Yossi Nivin] **** Support $isunbounded and parameter $. (#2104) **** Support unpacked array .sum and .product. **** Support prefix/postfix increment/decrement. (#2223) [Maciej Sobkowski] **** Fix FST tracing of little bit endian signals. [Geza Lore] **** Fix +: and -: on unpacked arrays. (#2304) [engr248] **** Fix $isunknown with constant Z's. **** Fix queues and dynamic array wide ops. (#2352) [Vassilis Papaefstathiou] * Verilator 4.034 2020-05-03 ** Add simplistic class support with many restrictions, see manual. (#377) ** Support IEEE time units and time precisions. (#234) Includes `timescale, $printtimescale, $timeformat. VL_TIME_MULTIPLIER, VL_TIME_PRECISION, VL_TIME_UNIT have been removed and the time precision must now match the SystemC time precision. To get closer behavior to older versions, use e.g. --timescale-override "1ps/1ps". ** Add --build to call make automatically. (#2249) [Yutetsu TAKATSUKASA] ** Configuring with ccache present now defaults to using it; see OBJCACHE. ** Fix DPI import/export to be standard compliant. (#2236) [Geza Lore] ** Add --trace-threads for general multithreaded tracing. (#2269) [Geza Lore] *** Add --flatten for use with --xml-only. (#2270) [James Hanlon] **** Greatly improve FST/VCD dump performance (#2244) (#2246) (#2250) (#2257) [Geza Lore] **** Support $ferror, and $fflush without arguments. (#1638) **** Support event data type (with some restrictions). **** Support $root. (#2150) [Keyi Zhang] **** Add error if use SystemC 2.2 and earlier (pre-2011) as is deprecated. **** Fix build of fast path tracing code to use OPT_FAST. (#2245) [Geza Lore] **** Fix arrayed instances connecting to slices. (#2263) [Don/engr248] **** Fix error on unpacked connecting to packed. (#2288) [Joseph Shaker] **** Fix logical not optimization with empty begin. (#2291) [Baltazar Ortiz] **** Fix reduction OR on wide data, broke in v4.026. (#2300) [Jack Koenig] **** Fix clock enables with bit-extends. (#2299) [Marco Widmer] **** Fix MacOs Homebrew by removing default LIBS. (#2298) [Ryan Clarke] * Verilator 4.032 2020-04-04 *** Add column numbers to errors and warnings. *** Add GCC 9-style line number prefix when showing source text for errors. *** Add setting VM_PARALLEL_BUILDS=1 when using --output-split. (#2185) *** Change --quiet-exit to also suppress 'Exiting due to N errors'. **** Suppress REALCVT for whole real numbers. **** Support split_var in vlt files. (#2219) [Marco Widmer] **** Fix parameter type redeclaring a type. (#2195) [hdzhangdoc] **** Fix VCD open with empty filename. (#2198) [Julius Baxter] **** Fix packages as enum base types. (#2202) [Driss Hafdi] **** Fix duplicate typedefs in generate for. (#2205) [hdzhangdoc] **** Fix MinW portability. (#2114) [Sean Cross] **** Fix assertions with unique case inside. (#2199) [hdzhangdoc] **** Fix implicit conversion of floats to wide integers.
Diffstat (limited to 'cad')
-rw-r--r--cad/verilator/Makefile5
-rw-r--r--cad/verilator/PLIST9
-rw-r--r--cad/verilator/distinfo10
3 files changed, 14 insertions, 10 deletions
diff --git a/cad/verilator/Makefile b/cad/verilator/Makefile
index 86f92c34a31..29faa8c40df 100644
--- a/cad/verilator/Makefile
+++ b/cad/verilator/Makefile
@@ -1,7 +1,6 @@
-# $NetBSD: Makefile,v 1.6 2020/08/31 18:06:40 wiz Exp $
+# $NetBSD: Makefile,v 1.7 2020/10/03 12:21:42 ryoon Exp $
-DISTNAME= verilator-4.030
-PKGREVISION= 1
+DISTNAME= verilator-4.100
CATEGORIES= cad
MASTER_SITES= https://www.veripool.org/ftp/
EXTRACT_SUFX= .tgz
diff --git a/cad/verilator/PLIST b/cad/verilator/PLIST
index 9c3bde727be..3df17022653 100644
--- a/cad/verilator/PLIST
+++ b/cad/verilator/PLIST
@@ -1,4 +1,4 @@
-@comment $NetBSD: PLIST,v 1.3 2020/03/18 13:33:38 ryoon Exp $
+@comment $NetBSD: PLIST,v 1.4 2020/10/03 12:21:42 ryoon Exp $
bin/verilator
bin/verilator_bin
bin/verilator_bin_dbg
@@ -44,6 +44,9 @@ share/verilator/examples/make_tracing_sc/input.vc
share/verilator/examples/make_tracing_sc/sc_main.cpp
share/verilator/examples/make_tracing_sc/sub.v
share/verilator/examples/make_tracing_sc/top.v
+share/verilator/examples/xml_py/Makefile
+share/verilator/examples/xml_py/sub.v
+share/verilator/examples/xml_py/top.v
share/verilator/include/gtkwave/fastlz.c
share/verilator/include/gtkwave/fastlz.h
share/verilator/include/gtkwave/fst_config.h
@@ -67,6 +70,7 @@ share/verilator/include/verilated_fst_c.cpp
share/verilator/include/verilated_fst_c.h
share/verilator/include/verilated_heavy.h
share/verilator/include/verilated_imp.h
+share/verilator/include/verilated_intrinsics.h
share/verilator/include/verilated_save.cpp
share/verilator/include/verilated_save.h
share/verilator/include/verilated_sc.h
@@ -74,7 +78,8 @@ share/verilator/include/verilated_sym_props.h
share/verilator/include/verilated_syms.h
share/verilator/include/verilated_threads.cpp
share/verilator/include/verilated_threads.h
-share/verilator/include/verilated_unordered_set_map.h
+share/verilator/include/verilated_trace.h
+share/verilator/include/verilated_trace_imp.cpp
share/verilator/include/verilated_vcd_c.cpp
share/verilator/include/verilated_vcd_c.h
share/verilator/include/verilated_vcd_sc.cpp
diff --git a/cad/verilator/distinfo b/cad/verilator/distinfo
index 8419fea6d35..8be656665c2 100644
--- a/cad/verilator/distinfo
+++ b/cad/verilator/distinfo
@@ -1,7 +1,7 @@
-$NetBSD: distinfo,v 1.4 2020/03/18 13:33:38 ryoon Exp $
+$NetBSD: distinfo,v 1.5 2020/10/03 12:21:42 ryoon Exp $
-SHA1 (verilator-4.030.tgz) = 6f70b648a2962ba52b31dd46684b7fad20cbd9c4
-RMD160 (verilator-4.030.tgz) = 36f50f095926f88dc3859ea6504fb07593f928ec
-SHA512 (verilator-4.030.tgz) = 5e1ab57a8ed51b04f6c97798c13f2f3ae24aa1ec2a2b8c9ae98099b16b2f7e9b21171412391832239464d3ad71f8f89b685a20eaa77c005b386a1db6eae02da7
-Size (verilator-4.030.tgz) = 2496204 bytes
+SHA1 (verilator-4.100.tgz) = 8e241f91d831d8b6d6f4a263e7f00078dcf990c4
+RMD160 (verilator-4.100.tgz) = b23304d3e9550d6e32f851c6e98a4e523787e342
+SHA512 (verilator-4.100.tgz) = 13f4547bfda1d8b4f251c1f6392f952a0d94e636844a72d4878a366e4d1feccea84cfaf24d6a3c4541e7d33c13ea6dab55d3dd7d646f79d7fb708656c722e316
+Size (verilator-4.100.tgz) = 2747140 bytes
SHA1 (patch-Makefile.in) = 3c91715cdfaba04120ada7a328b46e0571767e06