summaryrefslogtreecommitdiff
path: root/cad
diff options
context:
space:
mode:
authordmcmahill <dmcmahill>2010-02-28 15:59:18 +0000
committerdmcmahill <dmcmahill>2010-02-28 15:59:18 +0000
commit348c51330e06ad36e055c546a764a872800109b8 (patch)
treea74d37958139d9bce0dbc701a3ea7591ff386dbe /cad
parent611dd4012de2bba8b02273552071f00dab931858 (diff)
downloadpkgsrc-348c51330e06ad36e055c546a764a872800109b8.tar.gz
Update to the 20090923 snapshot.
It has been a long time since the last update to this snapshot package. Besides the various upstream changes, also - add LICENSE - add DESTDIR support (partially enabled by upstream fixes) Release Notes for Snapshot 20090923 This is the first snapshot after the split from the 0.9 release. Mostly, this snapshot is loaded with 7 months worth of bug fixes.
Diffstat (limited to 'cad')
-rw-r--r--cad/verilog-current/Makefile9
-rw-r--r--cad/verilog-current/PLIST21
-rw-r--r--cad/verilog-current/distinfo8
3 files changed, 24 insertions, 14 deletions
diff --git a/cad/verilog-current/Makefile b/cad/verilog-current/Makefile
index 6413c1c2e2d..39a138238fe 100644
--- a/cad/verilog-current/Makefile
+++ b/cad/verilog-current/Makefile
@@ -1,24 +1,25 @@
-# $NetBSD: Makefile,v 1.56 2009/05/20 00:58:07 wiz Exp $
+# $NetBSD: Makefile,v 1.57 2010/02/28 15:59:18 dmcmahill Exp $
#
DISTNAME= verilog-${SNAPDATE}
PKGNAME= verilog-current-${SNAPDATE}
-PKGREVISION= 1
CATEGORIES= cad
MASTER_SITES= ftp://icarus.com/pub/eda/verilog/snapshots/
MAINTAINER= dmcmahill@NetBSD.org
HOMEPAGE= http://icarus.com/eda/verilog/index.html
COMMENT= Verilog simulation and synthesis tool (development snapshot version)
+LICENSE= gnu-gpl-v2
-PKG_INSTALLATION_TYPES= overwrite pkgviews
+PKG_INSTALLATION_TYPES= overwrite pkgviews
+PKG_DESTDIR_SUPPORT= user-destdir
CONFLICTS+= verilog-[0-9]*
GCC_REQD+= 3.0
USE_LANGUAGES= c c++
-SNAPDATE= 20070227
+SNAPDATE= 20090923
GNU_CONFIGURE= yes
USE_TOOLS+= bison gmake lex
CONFIGURE_ARGS+= --without-ipal
diff --git a/cad/verilog-current/PLIST b/cad/verilog-current/PLIST
index aea9147f96d..75f81f73ac0 100644
--- a/cad/verilog-current/PLIST
+++ b/cad/verilog-current/PLIST
@@ -1,14 +1,16 @@
-@comment $NetBSD: PLIST,v 1.10 2009/06/14 17:35:57 joerg Exp $
+@comment $NetBSD: PLIST,v 1.11 2010/02/28 15:59:18 dmcmahill Exp $
bin/iverilog
bin/iverilog-vpi
bin/vvp
-include/_pli_types.h
-include/acc_user.h
-include/ivl_target.h
-include/veriuser.h
-include/vpi_user.h
+include/iverilog/_pli_types.h
+include/iverilog/acc_user.h
+include/iverilog/ivl_target.h
+include/iverilog/veriuser.h
+include/iverilog/vpi_user.h
lib/libveriuser.a
lib/libvpi.a
+lib/ivl/include/constants.vams
+lib/ivl/include/disciplines.vams
lib/ivl/cadpli.vpl
lib/ivl/ivl
lib/ivl/ivlpp
@@ -20,6 +22,13 @@ lib/ivl/stub.conf
lib/ivl/stub.tgt
lib/ivl/system.sft
lib/ivl/system.vpi
+lib/ivl/v2005_math.sft
+lib/ivl/v2005_math.vpi
+lib/ivl/va_math.sft
+lib/ivl/va_math.vpi
+lib/ivl/vhdl-s.conf
+lib/ivl/vhdl.conf
+lib/ivl/vhdl.tgt
lib/ivl/vvp-s.conf
lib/ivl/vvp.conf
lib/ivl/vvp.tgt
diff --git a/cad/verilog-current/distinfo b/cad/verilog-current/distinfo
index 116fc275802..65758489dcb 100644
--- a/cad/verilog-current/distinfo
+++ b/cad/verilog-current/distinfo
@@ -1,6 +1,6 @@
-$NetBSD: distinfo,v 1.29 2007/03/01 01:03:45 dmcmahill Exp $
+$NetBSD: distinfo,v 1.30 2010/02/28 15:59:19 dmcmahill Exp $
-SHA1 (verilog-20070227.tar.gz) = eb6f26393946505617b7a7e2405e760b92eefbf0
-RMD160 (verilog-20070227.tar.gz) = c9add1099fb07b50df3a5d232b3307d64bb235c9
-Size (verilog-20070227.tar.gz) = 1583940 bytes
+SHA1 (verilog-20090923.tar.gz) = 1836ebc4ef78341fb1a077e807c8d5b195ebb253
+RMD160 (verilog-20090923.tar.gz) = 32a009d1390e71721d3a72a1940b655ed1853ba5
+Size (verilog-20090923.tar.gz) = 1121376 bytes
SHA1 (patch-ad) = 9492af75153405c49076f2dcd11d2dc338640514