summaryrefslogtreecommitdiff
path: root/cad
diff options
context:
space:
mode:
authorkamil <kamil>2016-10-08 21:18:30 +0000
committerkamil <kamil>2016-10-08 21:18:30 +0000
commit8b2552a9b5db1927483c90cb8c6d3f517cd21b20 (patch)
tree06fff76aa475eed808354ecf77b3d752132be5e1 /cad
parent445b6e4571b164b715bf96b5e3e7e1488b78c7f5 (diff)
downloadpkgsrc-8b2552a9b5db1927483c90cb8c6d3f517cd21b20.tar.gz
Update cad/covered from 0.4.7 to 0.7.10
Local changes: - set LICENSE (gnu-gpl-v2) - replace DEPENDS of verilog to blk3 - stop replacing shebang for WISH - no longer needed - stop helping to find tclConfig.sh and tkConfig.sh - no longer needed - comment rationale for -DUSE_INTERP_RESULT (TCL/TK compatibility) - drop patch-src_lxt2__read.c - fixed upstream (differently) - comment and regenerate patch-src_lxt2__read.h Upstream changelog (partial changes prior 0.7 not known) ================== 0.7.10 Stable release covered-0.7.10 made. This release updates the FST library to the latest version which contains some fixes and enhancements. Stable release covered-0.7.9 11/21/2010 01:03 AM Filed in: Releases Stable release covered-0.7.9 made. This release is a bug fix and minor feature enhancement release. Here are the details: Cleaned up error messages emitted from the clang utility. Updated GUI to use the ttk styled widgets for a more uniform look and allows the user to change the overall look of the GUI using a preference item. Handling issue where a CDD file was created in a different directory and we attempt to load it in a different environment. Previously, a stack trace was emitted rather than just the user error message. Updated copyright dates to include 2010 Added FST dumpfile scoring (new -fst option added to the score command to accommodate this option). Adding support for "wire real" and associated code to Verilog parser. Fixing issue with memory coverage. Fixing bug 3054545. When a merged CDD file was used in an exclude command, a segmentation fault would occur. Added support for constant assignment to reals. Added support for "parameter integer" and "parameter real". Added parsing support for the $fopenw system task. Added support for performing +: and -: part selection on the left-hand-side of assignment expressions. Fixed various memory overrun and memory leak issues that caused instability issues within the GUI. Fixed GUI combinational logic issue where incorrect highlighting/underlining was occurring for uncovered expressions. Fixed GUI issue with next/previous button traversal for combinational logic. Enhanced the regression suite to verify all of the new features mentioned above. Updated user guide HTML output to include the Covered banner to the top of each page. User guide and man pages have been updated per these changes. On a side note, active work on Covered's development branch(es) has stopped indefinitely. I plan to support the current feature set in the 0.7.x branch with possible minor enhancements as requested. Please feel free to continue to send me e-mail and/or submit bug reports against the 0.7.x stable releases. Stable release covered-0.7.8 03/24/2010 10:20 PM Filed in: Releases Stable release covered-0.7.8 made. This release is primarily a bug fix release, but it does contain a few new minor features and Verilog language enhancements. Here are the details: Fixed bug 2912587. Using the -f option with the merge command was causing errors. Fixed bug 2912679. If the GUI was invoked (i.e., covered report -view) and an error in command-line parsing occurred, Covered segfaulted. Added ability to specify the CDD on the report command-line when starting the GUI (i.e., covered report -view foobar.cdd) which will automatically load the specified CDD files into the GUI on startup. Feature request 2912698. Fixed bug 2925756. An expression surrounded by the parenthesis could cause a segmentation fault when parsing. Support has been added for NC-Verilog VPI usage. Fixed bug 2926579. Changing from a known value to an X value should cause no change in toggle coverage; however, when we transition back to a known value and it differs from the previously known value, we record a toggle coverage change. Example: 0 -> X -> 0 (no change in coverage), 0 -> X -> 1 (change in coverage). Fixed bug 2927285. Segmentation faults could occur when excluding FSM and combinational logic cases. Added support for the $clog system function call. Fixed bug 2929948. Assignments to a concatentation of signals could lead to segmentation fault. Fixed issue in the LXT2 reader that resulted in a memory leak. Fixed bug 2933112. Added full support for out-of-bounds assignment. Added new -T global option that provides a "terse" output which outputs the Covered header and warnings/errors only (less output than using none of the global output verbosity options). Feature request 2952492. Fixed bug 2960887. Adds support for creating a definition which contains no user value (i.e., `define FOO). Covered was incorrectly assigning a value of 1 to these types of defines. Fixed bug 2958529. Zero width replications are now supported by Covered (i.e., {0{a & b}}) Fixed bug 2974860. Fixed issue with FSM state input/output variables being output to an ASCII report file correctly. Added ability to allow the "trans" parameter to Covered FSM attributes to contain additional characters after it. Some simulators don't like Verilog attributes having the same name for multiple parameters. Feature request 2976039. User guide has been updated per these changes. Development release covered-20091126 11/26/2009 10:10 PM Filed in: Releases Development release covered-20091126 made. This is a bug fix release only. Stable release covered-0.7.7 10/24/2009 10:09 PM Filed in: Releases Stable release covered-0.7.7 made. This is a bug fix release only. Fixed compilation warnings when compiling on 64-bit Mac OS X and Debian-based platforms. Updates to build scripts to help downstream Debian releases builds. Fixed bug 2880705. $Id: keywords containing newlines are now handled properly. Additionally, fixing issues with multiply instantiated modules within a generate block. Fixed bug 2881869. Fixed a stack overflow issue in the gen_item_resolve function that would cause segmentation faults when too many items were being generated within a single generate block. Fixed bug 2882433. Fixed the "ERROR! Parameter used in expression but not defined in current module" error when a generated module instance has a parameter override of a parameter with the same name as the parameter within the module that contains the generate block. Stable release covered-0.7.6 08/24/2009 10:12 PM Filed in: Releases Stable release covered-0.7.6 made. This is a bug fix release only. Fixed misspelling in report generator code (misspelling showed up in text reports) Fixed issues with performing module merging with modules containing generate blocks configured differently for different instantiations of the same module. Stable release covered-0.7.5 08/02/2009 10:20 PM Filed in: Releases Stable release covered-0.7.5 made. This is a bug fix release only. Fixed bug 2808818. If a generate variable name collided with a reg/wire name, Covered was not emitting an error. Fixed bug 2808820. If no signal was used from the dumpfile and at least one signal needs information from the dumpfile, Covered needed to signal a user error. Fixed bug 2812321. Parameterized/generated modules could get incorrect coverage calculated for them. Fixed bug 2812495. Fixed a crash issue. There is another part to this bug report that is not fixed, however. Fixed bug 2813405. A design run with the -g score option caused the GUI to freeze when viewed. Fixed bug 2813948. Fixed assertion issue with merging scored and unscored CDD files. Development release covered-20090802 08/02/2009 10:19 PM Filed in: Releases Development release covered-20090802 made. This development release adds several performance enhancements and bug fixes to the new inlined code coverage flow, including the following: Adding support for $random and $urandom system calls to inlined coverage. Includes all fixes made to the stable 0.7.5 release. Adding support for $value$plusargs system calls to inlined coverage. Fixing issue with generated IF statements. Added user documentation for inlined coverage flow and score options. Fixing issue with generated code interrupting comma-separated assign statements. Performed code simplification and performance improvement with the way statements were handled internally. Removed unnecessary calls to simulation functions when using inlined code coverage (this added a performance penalty). Improved performance of inlined code generator for sizing generated signals. Fixed memory indexing issues related to memory coverage. Added support for static function and static ternary operators for inlined code coverage. Added code to differentiate functions used statically and not to do the right thing for inlined code coverage accumulation. Added vcd_diff script which checks the dumpfile output from non-inlined and inlined design files to verify that the inlined code generator does not change the result. This check is now a part of all inlined regression runs. Made several performance improvements to the VCD file reader. The reader is now 10-20% faster. Added support for Verilator regressions runs and ported a couple of diagnostics to Verilator format. Adding check to make sure that a CDD file without inlined mode set that reads a VCD file containing inlined coverage data emits an error to the user and exits gracefully. Added -inline-comb-depth score option to allow the user to specify a shallower combinational coverage depth to be generated -- improving inlined simulation and coverage performance. For Verilator runs, inserted pragmas around intermediate combinational logic expression signals to exclude them from being output to VCD files. This improves simulation and coverage performance for Verilator runs (other simulators that have a VPI that automatically remove these signals from generating change callbacks). Performing code replace of some actual code with pre-calculated intermediate expression values for further simulation performance improvements. Added "e" option to -inline-metrics which allows event coverage to be turned on/off independently of other combinational logic coverage. This allows further simulation and coverage performance improvements (especially for Verilator runs). Added optimization that causes code generation to be skipped for assertion files when assertion coverage is not required. Full regressions now runs cleanly with all code changes. Stable release covered-0.7.4 06/17/2009 10:21 PM Filed in: Releases Stable release covered-0.7.4 made. This is a bug fix release only. Updated regression files for the new 2.4 version of the OVL. Fixed bug 2804585. Memory reads in LHS part selects were not being marked for memory coverage. Fixed issue with VPI usage in a VCS simulation with generate statements. Fixed bug 2805191. Automatic tasks/functions that manipulate variables outside of the task/function can cause incorrect toggle coverage for those signals. Fixed bug 2806855. Generate blocks generating module instantiations could lead to score command errors (segfaults, internal assertion errors, etc.) Stable release covered-0.7.3 06/04/2009 10:22 PM Filed in: Releases Stable release covered-0.7.3 made. This primarily fixes a few bugs in the compile of Covered "out of the box". It seems that even with the regression testbench, things can still slip through the cracks :( Anyhow, please use this release instead of the 0.7.2 release. Stable release covered-0.7.2 05/09/2009 10:23 PM Filed in: Releases Stable release covered-0.7.2 made. This is primarily a bug fix release with a few new features added to the CLI. Here are the details of the changes. Fixed bug 2791651. Memory deallocation errors occurred when syntax errors were being reported by the parser. Fixed bug 2791599. Whitespace prior to a `line or #line directive were not being handled properly. Fixed bug 2794588. If a module was specified in a -v option after its directory was specified by the -y option to the score command, the module was not found for parsing. Fixed bug 2794684. If a normal (not generate) case statement within a generate block will output the case expression to be output to the CDD more than once, leading to internal assertion errors when the CDD file is read. Fixed bug 2795088. When a CDD file is opened from the wizard GUI window, the open file window can be placed behind the wizard window. Instead the wizard window should disappear once a selection button has been clicked. Fixed bug 2795086. If the user clicked on the global exclusion reason listbox when it is empty, a Tcl/Tk error message box was raised. Fixed bug 2795089. If the GUI detailed combinational logic window is used to view several expressions one after the other, Covered can segfault. Fixed bug 2795583. Score command segfaults when a module is instantiated within a generate block and overrides a parameter value within the module. Fixed bug 2795640. Variables instantiated within a generate block caused issues with Covered when simulated with VCS. Fixed bug where memory elements being assigned via non-blocking assignments were not being evaluated, leading to incorrect coverage output. CLI updates/fixes: When the 'debug on' command is specified, a line specifying that the debug mode is now on is output (previously nothing was output (because the debug mode was off). Changed the 'debug on' command to 'debug less' and 'debug more' where the prior only outputs the executed statements and timestep information during simulation while the latter outputs what 'debug on' used to output (extremely verbose). Fixed bug 2795209. When an unknown CLI command was specified, a memory error occurred. Fixed bug 2795215. Status bar was attempting to be output during simulation when debug mode was turned on. This created some unreadable/messy output. Changed the 'goto ' command to 'goto time '. Added 'goto line [:]' command which simulates until the specified line number is about to be simulated. Added 'goto expr ' command which simulates until the given expression evaluates to a value of true. Added support for handling the Ctrl-C interrupt when the score command is simulating with the -cli option specified. In this case, simulation will immediately stop and return a CLI prompt which will allow the user to continue interacting with the simulation. Updated user guide documentation to include the changes made to the CLI. Stable release covered-0.7.1 05/07/2009 10:24 PM Filed in: Releases Stable release covered-0.7.1 made. This is a bug fix release only. Here are the details: Fixed bug 2782473. CDD files being merged from different testbenches but with similar leading hierarchy (but different top-level modules) which would lead to internal assertion errors. Fixed bug 2785453. Wires declared in generated named scopes were not handled correctly by Covered in VPI mode of operation, leading to inaccurate coverage information. Fixed bug 2786986. An always block with a part select in the sensitivity list was triggering on the entire signal change rather than the specific part select, leading to a potential degradation in performance and inaccuracy in coverage information. Allow time variable types to be included for coverage. Fixing permission issue with the install-sh script that some people would get after first downloading and installing. Updated README and INSTALL files to be more accurate. Fixed coverage accuracy issue for code that uses variable part selects in LHS of expressions. Stable release covered-0.7 04/26/2009 10:24 PM Filed in: Releases Stable release covered-0.7 made. This is a significant improvement over the 0.6 release, providing Verilog language enhancements, significant score optimizations, new rank and exclude commands, an enhanced merging capability, a multitude of GUI enhancements, a complete overhaul of the user documentation, many bug fixes, and much more.
Diffstat (limited to 'cad')
-rw-r--r--cad/covered/Makefile25
-rw-r--r--cad/covered/PLIST209
-rw-r--r--cad/covered/distinfo13
-rw-r--r--cad/covered/patches/patch-src_lxt2__read.c15
-rw-r--r--cad/covered/patches/patch-src_lxt2__read.h17
5 files changed, 156 insertions, 123 deletions
diff --git a/cad/covered/Makefile b/cad/covered/Makefile
index e501661943f..cb0fce7eca4 100644
--- a/cad/covered/Makefile
+++ b/cad/covered/Makefile
@@ -1,37 +1,22 @@
-# $NetBSD: Makefile,v 1.24 2016/10/08 14:45:25 kamil Exp $
+# $NetBSD: Makefile,v 1.25 2016/10/08 21:18:30 kamil Exp $
#
-DISTNAME= covered-0.4.7
-PKGREVISION= 6
+DISTNAME= covered-0.7.10
CATEGORIES= cad
MASTER_SITES= ${MASTER_SITE_SOURCEFORGE:=covered/}
MAINTAINER= dmcmahill@NetBSD.org
HOMEPAGE= http://covered.sourceforge.net/
COMMENT= Verilog code coverage analyzer (stable release version)
-
-DEPENDS+= verilog-[0-9]*:../../cad/verilog
+LICENSE= gnu-gpl-v2
GNU_CONFIGURE= YES
USE_TOOLS+= bison gmake lex
-REPLACE_INTERPRETER+= WISH
-REPLACE.WISH.old= .*wish
-REPLACE.WISH.new= ${PREFIX}/bin/wish
-REPLACE_FILES.WISH= scripts/main_view.tcl
-REPLACE_FILES.WISH+= scripts/menu_create.tcl
-REPLACE_FILES.WISH+= scripts/preferences.tcl
-REPLACE_FILES.WISH+= scripts/summary.tcl
-
-# we use this hack of setting a cache variable because
-# the configure script uses AC_PATH_PROG to try and find
-# the *Config.sh scripts but these are not installed with
-# the execute bit set.
-CONFIGURE_ENV+= r_cv_path_TCL_CONFIG=${BUILDLINK_PREFIX.tcl}/lib/tclConfig.sh
-CONFIGURE_ENV+= r_cv_path_TK_CONFIG=${BUILDLINK_PREFIX.tk}/lib/tkConfig.sh
-
+# This is required for TCL/TK dependency
CPPFLAGS+= -DUSE_INTERP_RESULT
+.include "../../cad/verilog/buildlink3.mk"
.include "../../devel/gperf/buildlink3.mk"
.include "../../devel/zlib/buildlink3.mk"
.include "../../lang/tcl/buildlink3.mk"
diff --git a/cad/covered/PLIST b/cad/covered/PLIST
index 837e12d7b7e..f4816a6797a 100644
--- a/cad/covered/PLIST
+++ b/cad/covered/PLIST
@@ -1,87 +1,150 @@
-@comment $NetBSD: PLIST,v 1.3 2009/06/14 17:35:52 joerg Exp $
+@comment $NetBSD: PLIST,v 1.4 2016/10/08 21:18:30 kamil Exp $
bin/covered
man/man1/covered.1
share/covered/.coveredrc
-share/covered/doc/gui/comb.html
-share/covered/doc/gui/cov_bar.html
-share/covered/doc/gui/cov_view.html
-share/covered/doc/gui/file_menu.html
-share/covered/doc/gui/help_menu.html
-share/covered/doc/gui/images/banner.gif
-share/covered/doc/gui/images/color.png
-share/covered/doc/gui/images/comb_complex.png
-share/covered/doc/gui/images/comb_event.png
-share/covered/doc/gui/images/comb_simple.png
-share/covered/doc/gui/images/comb_simple_and.png
-share/covered/doc/gui/images/comb_simple_or.png
-share/covered/doc/gui/images/comb_unary.png
-share/covered/doc/gui/images/dn_button.png
-share/covered/doc/gui/images/file_menu.png
-share/covered/doc/gui/images/help_menu.png
-share/covered/doc/gui/images/main_cov.png
-share/covered/doc/gui/images/main_info.png
-share/covered/doc/gui/images/main_lb.png
-share/covered/doc/gui/images/main_menu.png
-share/covered/doc/gui/images/main_summary.png
-share/covered/doc/gui/images/main_viewer.png
-share/covered/doc/gui/images/main_window.png
-share/covered/doc/gui/images/open_cdd.png
-share/covered/doc/gui/images/populated_lb.png
-share/covered/doc/gui/images/pref_window.png
-share/covered/doc/gui/images/report_menu.png
-share/covered/doc/gui/images/summary_window.png
-share/covered/doc/gui/images/toggle_full.png
-share/covered/doc/gui/images/up_button.png
-share/covered/doc/gui/images/view_menu.png
-share/covered/doc/gui/info_bar.html
-share/covered/doc/gui/intro.html
-share/covered/doc/gui/line.html
-share/covered/doc/gui/main.html
-share/covered/doc/gui/main_menu.html
-share/covered/doc/gui/mod_inst_lb.html
-share/covered/doc/gui/preferences.html
-share/covered/doc/gui/report_menu.html
-share/covered/doc/gui/summary.html
-share/covered/doc/gui/summary_bar.html
-share/covered/doc/gui/toggle.html
-share/covered/doc/gui/view_menu.html
-share/covered/doc/gui/welcome.html
-share/covered/doc/user/001.html
-share/covered/doc/user/002.html
-share/covered/doc/user/003.html
-share/covered/doc/user/004.html
-share/covered/doc/user/005.html
-share/covered/doc/user/006.html
-share/covered/doc/user/007.html
-share/covered/doc/user/008.html
-share/covered/doc/user/009.html
-share/covered/doc/user/010.html
-share/covered/doc/user/011.html
-share/covered/doc/user/012.html
-share/covered/doc/user/013.html
-share/covered/doc/user/014.html
-share/covered/doc/user/015.html
-share/covered/doc/user/016.html
-share/covered/doc/user/017.html
-share/covered/doc/user/018.html
-share/covered/doc/user/019.html
-share/covered/doc/user/020.html
-share/covered/doc/user/021.html
-share/covered/doc/user/022.html
-share/covered/doc/user/banner.gif
-share/covered/doc/user/example.rptI.html
-share/covered/doc/user/example.rptM.html
-share/covered/doc/user/example.v.html
-share/covered/doc/user/index.html
-share/covered/doc/user/styles.css
+share/covered/doc/html/chapter.attr.html
+share/covered/doc/html/chapter.boundaries.html
+share/covered/doc/html/chapter.debug.html
+share/covered/doc/html/chapter.epilogue.html
+share/covered/doc/html/chapter.exclude.html
+share/covered/doc/html/chapter.faq.html
+share/covered/doc/html/chapter.gui.assert.html
+share/covered/doc/html/chapter.gui.assert.source.html
+share/covered/doc/html/chapter.gui.exclude.html
+share/covered/doc/html/chapter.gui.fsm.html
+share/covered/doc/html/chapter.gui.genreport.html
+share/covered/doc/html/chapter.gui.intro.html
+share/covered/doc/html/chapter.gui.line.html
+share/covered/doc/html/chapter.gui.logic.html
+share/covered/doc/html/chapter.gui.main.html
+share/covered/doc/html/chapter.gui.memory.html
+share/covered/doc/html/chapter.gui.new.html
+share/covered/doc/html/chapter.gui.preferences.html
+share/covered/doc/html/chapter.gui.rank.html
+share/covered/doc/html/chapter.gui.toggle.html
+share/covered/doc/html/chapter.gui.wizard.html
+share/covered/doc/html/chapter.installation.html
+share/covered/doc/html/chapter.intro.html
+share/covered/doc/html/chapter.merge.html
+share/covered/doc/html/chapter.metrics.html
+share/covered/doc/html/chapter.race.html
+share/covered/doc/html/chapter.rank.html
+share/covered/doc/html/chapter.reading.html
+share/covered/doc/html/chapter.report.html
+share/covered/doc/html/chapter.score.html
+share/covered/doc/html/chapter.start.html
+share/covered/doc/html/chapter.using.html
+share/covered/doc/html/covered.css
+share/covered/doc/html/example.rptI.html
+share/covered/doc/html/example.rptM.html
+share/covered/doc/html/example.v.html
+share/covered/doc/html/img/app_menu.gif
+share/covered/doc/html/img/assert_excl.gif
+share/covered/doc/html/img/assert_src.gif
+share/covered/doc/html/img/assert_window.gif
+share/covered/doc/html/img/banner.jpg
+share/covered/doc/html/img/close_warn.gif
+share/covered/doc/html/img/col_show_hide.gif
+share/covered/doc/html/img/comb_complex.gif
+share/covered/doc/html/img/comb_event.gif
+share/covered/doc/html/img/comb_simple.gif
+share/covered/doc/html/img/comb_simple_and.gif
+share/covered/doc/html/img/comb_simple_or.gif
+share/covered/doc/html/img/comb_unary.gif
+share/covered/doc/html/img/dn_button.gif
+share/covered/doc/html/img/er_full.gif
+share/covered/doc/html/img/er_part.gif
+share/covered/doc/html/img/exit_warn.gif
+share/covered/doc/html/img/file_menu.gif
+share/covered/doc/html/img/file_viewer.gif
+share/covered/doc/html/img/fsm_example.png
+share/covered/doc/html/img/fsm_excl.gif
+share/covered/doc/html/img/fsm_window.gif
+share/covered/doc/html/img/gen_menu.gif
+share/covered/doc/html/img/help_menu.gif
+share/covered/doc/html/img/home.gif
+share/covered/doc/html/img/line_excl.gif
+share/covered/doc/html/img/line_incl.gif
+share/covered/doc/html/img/main_cov.gif
+share/covered/doc/html/img/main_info.gif
+share/covered/doc/html/img/main_lb.gif
+share/covered/doc/html/img/main_menu.gif
+share/covered/doc/html/img/main_viewer.gif
+share/covered/doc/html/img/main_window.gif
+share/covered/doc/html/img/memory_full.gif
+share/covered/doc/html/img/new_cdd_define.gif
+share/covered/doc/html/img/new_cdd_fsm.gif
+share/covered/doc/html/img/new_cdd_gen.gif
+share/covered/doc/html/img/new_cdd_insert_menu.gif
+share/covered/doc/html/img/new_cdd_lib_ext.gif
+share/covered/doc/html/img/new_cdd_mod_excl.gif
+share/covered/doc/html/img/new_cdd_mod_gen.gif
+share/covered/doc/html/img/new_cdd_name.gif
+share/covered/doc/html/img/new_cdd_options.gif
+share/covered/doc/html/img/new_cdd_options2.gif
+share/covered/doc/html/img/new_cdd_parm_oride.gif
+share/covered/doc/html/img/new_cdd_selection.gif
+share/covered/doc/html/img/new_cdd_type.gif
+share/covered/doc/html/img/next.gif
+share/covered/doc/html/img/note.gif
+share/covered/doc/html/img/populated_lb.gif
+share/covered/doc/html/img/pref_color.gif
+share/covered/doc/html/img/pref_exclude.gif
+share/covered/doc/html/img/pref_goals.gif
+share/covered/doc/html/img/pref_main.gif
+share/covered/doc/html/img/pref_merge.gif
+share/covered/doc/html/img/pref_syntax.gif
+share/covered/doc/html/img/prev.gif
+share/covered/doc/html/img/rank_files.gif
+share/covered/doc/html/img/rank_options.gif
+share/covered/doc/html/img/rank_output.gif
+share/covered/doc/html/img/rank_report.gif
+share/covered/doc/html/img/rank_selection.gif
+share/covered/doc/html/img/report_menu.gif
+share/covered/doc/html/img/rpt_gen_options.gif
+share/covered/doc/html/img/rpt_gen_selection.gif
+share/covered/doc/html/img/rpt_gen_view.gif
+share/covered/doc/html/img/toggle_full.gif
+share/covered/doc/html/img/up.gif
+share/covered/doc/html/img/up_button.gif
+share/covered/doc/html/img/vhier.png
+share/covered/doc/html/img/vhier2.png
+share/covered/doc/html/img/view_menu.gif
+share/covered/doc/html/img/wizard.gif
+share/covered/doc/html/index.html
+share/covered/doc/html/part.command.line.usage.html
+share/covered/doc/html/part.epilogue.html
+share/covered/doc/html/part.faq.html
+share/covered/doc/html/part.gui.html
+share/covered/doc/html/part.installation.html
+share/covered/doc/html/part.overview.html
+share/covered/scripts/assert.tcl
+share/covered/scripts/balloon.tcl
share/covered/scripts/banner.gif
share/covered/scripts/cdd_view.tcl
+share/covered/scripts/checked.gif
+share/covered/scripts/clear.gif
share/covered/scripts/comb.tcl
share/covered/scripts/cov_create.tcl
+share/covered/scripts/cov_icon.gif
+share/covered/scripts/exclude.tcl
+share/covered/scripts/find.gif
+share/covered/scripts/fsm.tcl
+share/covered/scripts/gen_new.tcl
+share/covered/scripts/gen_rank.tcl
+share/covered/scripts/gen_report.tcl
share/covered/scripts/help.tcl
+share/covered/scripts/left_arrow.gif
share/covered/scripts/main_view.tcl
+share/covered/scripts/memory.tcl
share/covered/scripts/menu_create.tcl
share/covered/scripts/preferences.tcl
share/covered/scripts/process_file.tcl
+share/covered/scripts/right_arrow.gif
share/covered/scripts/summary.tcl
share/covered/scripts/toggle.tcl
+share/covered/scripts/unchecked.gif
+share/covered/scripts/verilog.tcl
+share/covered/scripts/viewer.tcl
+share/covered/scripts/wizard.tcl
+@pkgdir libexec
diff --git a/cad/covered/distinfo b/cad/covered/distinfo
index 72aabd3fdfc..ae7ed3e0be5 100644
--- a/cad/covered/distinfo
+++ b/cad/covered/distinfo
@@ -1,8 +1,7 @@
-$NetBSD: distinfo,v 1.13 2015/11/03 00:21:16 agc Exp $
+$NetBSD: distinfo,v 1.14 2016/10/08 21:18:30 kamil Exp $
-SHA1 (covered-0.4.7.tar.gz) = 9dd9da4d739db15e5e2ea36f2767136a825a4728
-RMD160 (covered-0.4.7.tar.gz) = 63720610a24e6e71b9622586d561e3eb92a606f5
-SHA512 (covered-0.4.7.tar.gz) = 2fb44e9a7ef9e34a5180c767ea9fa86cf821f3df31351fa9086e5b2ce4ee690d55896082473655469c544ee8471e9012caf124fcb0450da767aa845cf4ef36dc
-Size (covered-0.4.7.tar.gz) = 1077912 bytes
-SHA1 (patch-src_lxt2__read.c) = 0c388647fd7fe2027b59cdd35761c91bbe490e64
-SHA1 (patch-src_lxt2__read.h) = 18be70aa6e0dfef5dcf72809e62260642ada7898
+SHA1 (covered-0.7.10.tar.gz) = 3f7f27ccb9d9263a27f5c8ae97e5569bf434c2f2
+RMD160 (covered-0.7.10.tar.gz) = 9e9146753aa542663fc43924d64601e8f16be316
+SHA512 (covered-0.7.10.tar.gz) = da1c844e2b138966ca572d0d06739c22a6a422f12ccff6b3201741019eb17d794cc8257b5fcf405f580614a0b22d370672d37c12f84ce556b49db1e8724e4b44
+Size (covered-0.7.10.tar.gz) = 3108263 bytes
+SHA1 (patch-src_lxt2__read.h) = 5540942aa8222db889ed1168244462e5b45cd977
diff --git a/cad/covered/patches/patch-src_lxt2__read.c b/cad/covered/patches/patch-src_lxt2__read.c
deleted file mode 100644
index f9902b95610..00000000000
--- a/cad/covered/patches/patch-src_lxt2__read.c
+++ /dev/null
@@ -1,15 +0,0 @@
-$NetBSD: patch-src_lxt2__read.c,v 1.1 2011/12/07 15:13:26 joerg Exp $
-
---- src/lxt2_read.c.orig 2011-12-07 14:54:06.000000000 +0000
-+++ src/lxt2_read.c
-@@ -62,8 +62,8 @@ _LXT2_RD_INLINE static unsigned int lxt2
- {
- unsigned short x = *((unsigned short *)((unsigned char *)mm+offset));
-
-- __asm("xchgb %b0,%h0" :
-- "=q" (x) :
-+ __asm("rorw $8, %w1" :
-+ "=r" (x) :
- "0" (x));
-
- return (unsigned int) x;
diff --git a/cad/covered/patches/patch-src_lxt2__read.h b/cad/covered/patches/patch-src_lxt2__read.h
index 738b9219d94..3008bba304e 100644
--- a/cad/covered/patches/patch-src_lxt2__read.h
+++ b/cad/covered/patches/patch-src_lxt2__read.h
@@ -1,20 +1,21 @@
-$NetBSD: patch-src_lxt2__read.h,v 1.1 2012/12/25 21:14:45 joerg Exp $
+$NetBSD: patch-src_lxt2__read.h,v 1.2 2016/10/08 21:18:30 kamil Exp $
---- src/lxt2_read.h.orig 2012-12-25 15:00:05.000000000 +0000
+Fix inline use.
+
+--- src/lxt2_read.h.orig 2008-06-27 14:02:03.000000000 +0000
+++ src/lxt2_read.h
@@ -247,12 +247,12 @@ unsigned process_mask_dirty : 1; /* only
struct lxt2_rd_trace * lxt2_rd_init(const char *name);
void lxt2_rd_close(struct lxt2_rd_trace *lt);
-_LXT2_RD_INLINE lxtint64_t lxt2_rd_set_max_block_mem_usage(struct lxt2_rd_trace *lt, lxtint64_t block_mem_max);
--_LXT2_RD_INLINE lxtint64_t lxt2_rd_get_block_mem_usage(struct lxt2_rd_trace *lt);
-+lxtint64_t lxt2_rd_set_max_block_mem_usage(struct lxt2_rd_trace *lt, lxtint64_t block_mem_max);
-+lxtint64_t lxt2_rd_get_block_mem_usage(struct lxt2_rd_trace *lt);
++lxtint64_t lxt2_rd_set_max_block_mem_usage(struct lxt2_rd_trace *lt, lxtint64_t block_mem_max);
+ _LXT2_RD_INLINE lxtint64_t lxt2_rd_get_block_mem_usage(struct lxt2_rd_trace *lt);
_LXT2_RD_INLINE unsigned int lxt2_rd_get_num_blocks(struct lxt2_rd_trace *lt);
unsigned int lxt2_rd_get_num_active_blocks(struct lxt2_rd_trace *lt);
-_LXT2_RD_INLINE lxtint32_t lxt2_rd_get_num_facs(struct lxt2_rd_trace *lt);
-+lxtint32_t lxt2_rd_get_num_facs(struct lxt2_rd_trace *lt);
++lxtint32_t lxt2_rd_get_num_facs(struct lxt2_rd_trace *lt);
char * lxt2_rd_get_facname(struct lxt2_rd_trace *lt, lxtint32_t facidx);
struct lxt2_rd_geometry * lxt2_rd_get_fac_geometry(struct lxt2_rd_trace *lt, lxtint32_t facidx);
_LXT2_RD_INLINE lxtint32_t lxt2_rd_get_fac_rows(struct lxt2_rd_trace *lt, lxtint32_t facidx);
@@ -23,7 +24,7 @@ $NetBSD: patch-src_lxt2__read.h,v 1.1 2012/12/25 21:14:45 joerg Exp $
_LXT2_RD_INLINE lxtint32_t lxt2_rd_get_fac_flags(struct lxt2_rd_trace *lt, lxtint32_t facidx);
_LXT2_RD_INLINE lxtint32_t lxt2_rd_get_fac_len(struct lxt2_rd_trace *lt, lxtint32_t facidx);
-_LXT2_RD_INLINE lxtint32_t lxt2_rd_get_alias_root(struct lxt2_rd_trace *lt, lxtint32_t facidx);
-+lxtint32_t lxt2_rd_get_alias_root(struct lxt2_rd_trace *lt, lxtint32_t facidx);
++lxtint32_t lxt2_rd_get_alias_root(struct lxt2_rd_trace *lt, lxtint32_t facidx);
_LXT2_RD_INLINE char lxt2_rd_get_timescale(struct lxt2_rd_trace *lt);
_LXT2_RD_INLINE lxtint64_t lxt2_rd_get_start_time(struct lxt2_rd_trace *lt);
@@ -32,7 +33,7 @@ $NetBSD: patch-src_lxt2__read.h,v 1.1 2012/12/25 21:14:45 joerg Exp $
_LXT2_RD_INLINE int lxt2_rd_set_fac_process_mask(struct lxt2_rd_trace *lt, lxtint32_t facidx);
_LXT2_RD_INLINE int lxt2_rd_clr_fac_process_mask(struct lxt2_rd_trace *lt, lxtint32_t facidx);
-_LXT2_RD_INLINE int lxt2_rd_set_fac_process_mask_all(struct lxt2_rd_trace *lt);
-+int lxt2_rd_set_fac_process_mask_all(struct lxt2_rd_trace *lt);
++int lxt2_rd_set_fac_process_mask_all(struct lxt2_rd_trace *lt);
_LXT2_RD_INLINE int lxt2_rd_clr_fac_process_mask_all(struct lxt2_rd_trace *lt);
/* null value_change_callback calls an empty dummy function */