summaryrefslogtreecommitdiff
path: root/ham/uhd
diff options
context:
space:
mode:
authormef <mef@pkgsrc.org>2020-04-07 15:51:50 +0000
committermef <mef@pkgsrc.org>2020-04-07 15:51:50 +0000
commit737f6da16ce2613dd5f9b2c1c82b4d38a7b1f981 (patch)
tree1a11ca57b723387233327622ebc5864b279ff6de /ham/uhd
parent0b16ff627c9f96c7f356def5e095bc934d60c121 (diff)
downloadpkgsrc-737f6da16ce2613dd5f9b2c1c82b4d38a7b1f981.tar.gz
(ham/uhd) Updated to 3.15.0.0
## 003.015.000.000 * N320: Fix MCR initialization, fix checks for LO distribution board, reset RX IQ balance on init, replace DRAM FIFO with replay block, improve constraints, fix I/Q imbalance compensation, add FPGPIO control * N310: increase default dc offset averaging window, make tunes asynchronous, add capability to control RF filter bypass and freq.band limits, fix setting user DB EEPROM, correctly report N321 vs N320, improve DDR3 BIST, update max revision to 7, fix DMA arbitration to use contiguous packets, replace DRAM FIFO with replay block, fix SFP link up status, add workaround for clocking interference with external reference clocks, disable gpsdo clock/time source options when enable_gps=0 * X300: Fix max bitfile size, fix GPIO ATR property access type, heavily refactor, introduce conn_mgr, add DPDK support, add capability to flash NI-2974 FPGA, fix clocking code, enable 11.52 MHz and 23.04 MHz system ref rates, improve usage of constrained device args, enable ADC gain through RFNoC API, add mode to set master clock rate to arbitrary values between 184.32 and 200 MHz, throttle muxed_zero_copy_if * E320: Fix time source clobbering ref source, add support for RevE, fix reporting of FPGA version hash, fix SFP link up status, fix missing ce_clk driver * E310: Convert to MPM architecture, fix uhd_image_loader usage, fix DMA arbitration to use contiguous packets, reduced DMA chans to 4 (using data stream muxing), fix DRAM_TEST target build * E3xx: Correct frontend name in devtest * B200: Add command to query bootloader status, fix sc12 streaming, fix FIFO sizes on GPIFII interface * UBX: add temperature compensation mode * SBX: Only update ATRs when lock state changes * TwinRX: add LO charge pump properties, increase default charge pump value on LO1, add low spur tuning mode, fix duplicate write to N value in DDC * RFNoC/device3: Read command FIFO size from device instead of hardcoding values, fix multidevice graph connections, ENABLE_RFNOC now defaults to ON, search all nodes for tick rate, add update_graph() call which lets blocks do a graph-wide update of properties, fix missing port arg in SR_WRITE Noc-Script call, constrain send/recv_frame_size baed on MTU, fix flushing on init/deinit, disable FC ACKs for lossless links * RFNOC/FPGA: Fix rb_stb in split stream block, fix off-by-one error in the window block, fix phase reset and -accumulator for DDC and DUC blocks, fix flushing on split-stream block, fix DC offset issue with DDS by using proper rounding, fix DUC/DDC sample rate switching by latching N on M in axi_rate_change, various fixes to uhd_image_builder, fix MTU settings in blocks, align byte count to 8-byte word * RFNOC: Allow UHD_RFNOC_DIR to contain multiple paths * Python API: Replace Boost.Python with PyBind11, fix benchmark_rate statistics, fix phase alignment test script * Python API: Added include of complex.h to allow pybind to convert complex data types * Python API: Make multi_usrp::get_*_usrp_info() return a Python dict * Python API: Fix array processing in send_waveforms() * UHD: Allow ignoring fallthrough warnings, reduce Boost footprint, remove gpsd dependency, improve streaming, reduced the number of compiler warnings, introduce pop() to the prop tree, add typecast operator from uhd::dict<> to std::map<>, properly cache config file data * MPM/mpmd: Introduce compatible rev numbers to support future hardware, fix some resource leaks in mpmd, fix spurious reclaims causing unnecessary warnings, fix resource leaks in liberio xport, allow to mux data streams over liberio transports (e.g. to require fewer DMA channels on E310), wait for DPDK links to come up before proceeding, relax failure handling when updating components (fixes spurious errors when updating FPGA images over SFP), fix issue where RPC initialization would hang on failure * MPM: Re-enable RPC server timeouts after components have been updated * MPMD: Remove arbitraty frame size defaults for UDP transports * MPMD: Fix incorrect link rate warnings * FPGA: Use new device-tree overlay syntax, upgraded to Vivado 2018.3, broke various paths with critical timing, allow SystemVerilog source files, improve viv_modify_bd and viv_modify_tcl_bd, fix resets on 2clk FIFOs * USB: Allow cancelled USB requests to occur * USB: Fix global session race condition * Logging: Always honour log level, don't log colours for non-ttys, fix includes, demote various log messages, fix logging colours, fix deadlock on Windows machines * Examples: Fix benchmark_rate INIT_DELAY, fix memory leak in tx_samples_c * Examples: Remove thread priority elevation * Examples: Add options to benchmark_rate for start delays and priority * Tests: Make the Python interpreter for devtests a parameter, add unit tests to MPM * Utilities: Fix converter benchmark for Py3k and scaling issue * Tools: Fix kitchen_sink * Tools: Fix Wireshark dissectors to work with WS1, 2, and 3 * Tools: Various fixes to FPGA functional verification tests * Docs: Various fixes, fix Doxygen warnings, fix links to KB, update DPDK information about building libraries, add DPDK subsection about thread priorities, update testing procedures * C API: Add uhd_get_abi_string, uhd_get_version_string * CMake: Make manpage compression optional, allow setting of PKG_DOC_DIR from the CMake commandline, add replay example, fix missing 'project', replace ENABLE_PYTHON3 with a simpler Python detection, clean up superfluous modules, improve log statements, bump dependency min versions, add MPM unit testing, fix missing BIGOBJ for MSVC, add our own UHDBoost.cmake to better find Boost across versions and systems, constrain DPDK check to exact version * Formatting: Apply clang-format to all files, break after template<>
Diffstat (limited to 'ham/uhd')
-rw-r--r--ham/uhd/Makefile7
-rw-r--r--ham/uhd/PLIST58
-rw-r--r--ham/uhd/distinfo14
-rw-r--r--ham/uhd/patches/patch-CMakeLists.txt22
-rw-r--r--ham/uhd/patches/patch-docs_CMakeLists.txt55
5 files changed, 101 insertions, 55 deletions
diff --git a/ham/uhd/Makefile b/ham/uhd/Makefile
index 4ed6c9ba3e4..af1e2d72c19 100644
--- a/ham/uhd/Makefile
+++ b/ham/uhd/Makefile
@@ -1,7 +1,6 @@
-# $NetBSD: Makefile,v 1.52 2020/01/12 20:20:26 ryoon Exp $
+# $NetBSD: Makefile,v 1.53 2020/04/07 15:51:50 mef Exp $
-DISTNAME= uhd-3.14.1.1
-PKGREVISION= 1
+DISTNAME= uhd-3.15.0.0
CATEGORIES= ham
MASTER_SITES= ${MASTER_SITE_GITHUB:=EttusResearch/}
GITHUB_TAG= v${PKGVERSION_NOREV}
@@ -42,6 +41,7 @@ WRKSRC= ${WRKDIR}/${DISTNAME}/host
# The files with their name uhd-xxxx, are moved down from uhd/xxxx for this process.
REPLACE_PYTHON+= utils/converter_benchmark.py
+REPLACE_PYTHON+= utils/converter_benchmark.py
REPLACE_PYTHON+= utils/latency/graph.py
REPLACE_PYTHON+= utils/latency/run_tests.py
REPLACE_PYTHON+= utils/uhd_images_downloader.py.in
@@ -55,6 +55,7 @@ REPLACE_PYTHON+= tests/devtest/rx_samples_to_file_test.py
REPLACE_PYTHON+= tests/devtest/test_messages_test.py
REPLACE_PYTHON+= tests/devtest/test_pps_test.py
REPLACE_PYTHON+= tests/devtest/tx_bursts_test.py
+REPLACE_PYTHON+= tests/devtest/tx_waveforms_test.py
REPLACE_PYTHON+= tests/devtest/uhd_test_base.py
REPLACE_PYTHON+= tests/devtest/usrp_probe.py
REPLACE_PYTHON+= tests/devtest/usrp_probe_test.py
diff --git a/ham/uhd/PLIST b/ham/uhd/PLIST
index 9d5615fdfa9..eb3480543d3 100644
--- a/ham/uhd/PLIST
+++ b/ham/uhd/PLIST
@@ -1,4 +1,4 @@
-@comment $NetBSD: PLIST,v 1.15 2019/07/02 16:44:55 adam Exp $
+@comment $NetBSD: PLIST,v 1.16 2020/04/07 15:51:50 mef Exp $
bin/uhd_cal_rx_iq_balance
bin/uhd_cal_tx_dc_offset
bin/uhd_cal_tx_iq_balance
@@ -8,17 +8,42 @@ bin/uhd_image_loader
bin/uhd_usrp_probe
include/uhd.h
include/uhd/build_info.hpp
-include/uhd/cal/container.hpp
-include/uhd/cal/power_container.hpp
include/uhd/config.h
include/uhd/config.hpp
include/uhd/convert.hpp
include/uhd/deprecated.hpp
include/uhd/device.hpp
+include/uhd/device3.hpp
include/uhd/error.h
include/uhd/exception.hpp
include/uhd/property_tree.hpp
include/uhd/property_tree.ipp
+include/uhd/rfnoc/block_ctrl.hpp
+include/uhd/rfnoc/block_ctrl_base.hpp
+include/uhd/rfnoc/block_id.hpp
+include/uhd/rfnoc/blockdef.hpp
+include/uhd/rfnoc/constants.hpp
+include/uhd/rfnoc/ddc_block_ctrl.hpp
+include/uhd/rfnoc/dma_fifo_block_ctrl.hpp
+include/uhd/rfnoc/duc_block_ctrl.hpp
+include/uhd/rfnoc/fir_block_ctrl.hpp
+include/uhd/rfnoc/graph.hpp
+include/uhd/rfnoc/node_ctrl_base.hpp
+include/uhd/rfnoc/node_ctrl_base.ipp
+include/uhd/rfnoc/null_block_ctrl.hpp
+include/uhd/rfnoc/radio_ctrl.hpp
+include/uhd/rfnoc/rate_node_ctrl.hpp
+include/uhd/rfnoc/replay_block_ctrl.hpp
+include/uhd/rfnoc/scalar_node_ctrl.hpp
+include/uhd/rfnoc/siggen_block_ctrl.hpp
+include/uhd/rfnoc/sink_block_ctrl_base.hpp
+include/uhd/rfnoc/sink_node_ctrl.hpp
+include/uhd/rfnoc/source_block_ctrl_base.hpp
+include/uhd/rfnoc/source_node_ctrl.hpp
+include/uhd/rfnoc/stream_sig.hpp
+include/uhd/rfnoc/terminator_node_ctrl.hpp
+include/uhd/rfnoc/tick_node_ctrl.hpp
+include/uhd/rfnoc/window_block_ctrl.hpp
include/uhd/stream.hpp
include/uhd/transport/bounded_buffer.hpp
include/uhd/transport/bounded_buffer.ipp
@@ -97,26 +122,35 @@ include/uhd/utils/log.hpp
include/uhd/utils/log_add.hpp
include/uhd/utils/math.hpp
include/uhd/utils/msg_task.hpp
+include/uhd/utils/noncopyable.hpp
include/uhd/utils/paths.hpp
include/uhd/utils/pimpl.hpp
include/uhd/utils/platform.hpp
include/uhd/utils/safe_call.hpp
include/uhd/utils/safe_main.hpp
+include/uhd/utils/scope_exit.hpp
include/uhd/utils/static.hpp
include/uhd/utils/tasks.hpp
include/uhd/utils/thread.hpp
include/uhd/utils/thread_priority.h
include/uhd/utils/thread_priority.hpp
+include/uhd/version.h
include/uhd/version.hpp
+lib/cmake/uhd/UHDBoost.cmake
lib/cmake/uhd/UHDConfig.cmake
lib/cmake/uhd/UHDConfigVersion.cmake
lib/libuhd.so
-lib/libuhd.so.3.14.1
+lib/libuhd.so.3.15.0
lib/pkgconfig/uhd.pc
lib/uhd/examples/benchmark_rate
+lib/uhd/examples/benchmark_streamer
lib/uhd/examples/gpio
lib/uhd/examples/latency_test
lib/uhd/examples/network_relay
+lib/uhd/examples/replay_samples_from_file
+lib/uhd/examples/rfnoc_nullsource_ce_rx
+lib/uhd/examples/rfnoc_radio_loopback
+lib/uhd/examples/rfnoc_rx_to_file
lib/uhd/examples/rx_ascii_art_dft
lib/uhd/examples/rx_multi_samples
lib/uhd/examples/rx_samples_c
@@ -138,14 +172,16 @@ lib/uhd/examples/tx_waveforms
lib/uhd/examples/txrx_loopback_to_file
lib/uhd/examples/usrp_list_sensors
lib/uhd/tests/addr_test
+lib/uhd/tests/block_id_test
+lib/uhd/tests/blockdef_test
lib/uhd/tests/buffer_test
lib/uhd/tests/byteswap_test
-lib/uhd/tests/cal_container_test
lib/uhd/tests/cast_test
lib/uhd/tests/chdr_test
lib/uhd/tests/config_parser_test
lib/uhd/tests/constrained_device_args_test
lib/uhd/tests/convert_test
+lib/uhd/tests/device3_test
lib/uhd/tests/devtest/benchmark_rate_test.py
lib/uhd/tests/devtest/bitbang_test.py
lib/uhd/tests/devtest/devtest_b2xx.py
@@ -162,6 +198,7 @@ lib/uhd/tests/devtest/rx_samples_to_file_test.py
lib/uhd/tests/devtest/test_messages_test.py
lib/uhd/tests/devtest/test_pps_test.py
lib/uhd/tests/devtest/tx_bursts_test.py
+lib/uhd/tests/devtest/tx_waveforms_test.py
lib/uhd/tests/devtest/uhd_test_base.py
lib/uhd/tests/devtest/usrp_probe.py
lib/uhd/tests/devtest/usrp_probe_test.py
@@ -175,27 +212,34 @@ lib/uhd/tests/fe_conn_test
lib/uhd/tests/fp_compare_delta_test
lib/uhd/tests/fp_compare_epsilon_test
lib/uhd/tests/gain_group_test
+lib/uhd/tests/graph_search_test
+lib/uhd/tests/isatty_test
lib/uhd/tests/log_test
lib/uhd/tests/math_test
lib/uhd/tests/narrow_cast_test
lib/uhd/tests/nocscript_expr_test
lib/uhd/tests/nocscript_ftable_test
lib/uhd/tests/nocscript_parser_test
+lib/uhd/tests/node_connect_test
lib/uhd/tests/packet_handler_benchmark
lib/uhd/tests/paths_test
lib/uhd/tests/property_test
lib/uhd/tests/ranges_c_test
lib/uhd/tests/ranges_test
+lib/uhd/tests/rate_node_test
+lib/uhd/tests/scope_exit_test
lib/uhd/tests/sensors_c_test
lib/uhd/tests/sensors_test
lib/uhd/tests/sid_t_test
lib/uhd/tests/soft_reg_test
lib/uhd/tests/sph_recv_test
lib/uhd/tests/sph_send_test
+lib/uhd/tests/stream_sig_test
lib/uhd/tests/string_vector_c_test
lib/uhd/tests/subdev_spec_c_test
lib/uhd/tests/subdev_spec_test
lib/uhd/tests/tasks_test
+lib/uhd/tests/tick_node_test
lib/uhd/tests/time_spec_test
lib/uhd/tests/vrt_test
lib/uhd/utils/b2xx_fx3_utils
@@ -246,10 +290,10 @@ share/uhd/rfnoc/blocks/moving_avg.xml
share/uhd/rfnoc/blocks/nullblock.xml
share/uhd/rfnoc/blocks/ofdmeq.xml
share/uhd/rfnoc/blocks/packetresizer.xml
-share/uhd/rfnoc/blocks/radio_e3xx.xml
+share/uhd/rfnoc/blocks/radio_e31x.xml
+share/uhd/rfnoc/blocks/radio_e320.xml
share/uhd/rfnoc/blocks/radio_eiscat.xml
share/uhd/rfnoc/blocks/radio_magnesium.xml
-share/uhd/rfnoc/blocks/radio_neon.xml
share/uhd/rfnoc/blocks/radio_rhodium.xml
share/uhd/rfnoc/blocks/radio_x300.xml
share/uhd/rfnoc/blocks/replay.xml
diff --git a/ham/uhd/distinfo b/ham/uhd/distinfo
index 37b3c508f6c..d6867d4d11b 100644
--- a/ham/uhd/distinfo
+++ b/ham/uhd/distinfo
@@ -1,9 +1,9 @@
-$NetBSD: distinfo,v 1.22 2019/10/04 10:41:44 adam Exp $
+$NetBSD: distinfo,v 1.23 2020/04/07 15:51:50 mef Exp $
-SHA1 (uhd-3.14.1.1.tar.gz) = 6ca67393422bb8c1cc56ba201844d9a0212ce03d
-RMD160 (uhd-3.14.1.1.tar.gz) = e6eb3a304a324eb16479fda760d1e93b7e72e8b9
-SHA512 (uhd-3.14.1.1.tar.gz) = 461f9314dd0af5feed91b18196cccb7828cee91a712dec0bb8b59878d54500906beea9f6dd938a90eae041dca6a1f6b564b6924d8e53e4b2fb507f2ed7be0ff4
-Size (uhd-3.14.1.1.tar.gz) = 12960651 bytes
-SHA1 (patch-CMakeLists.txt) = fa86f08bccb4afbb9108d3b1eb02d3101e933cdc
-SHA1 (patch-docs_CMakeLists.txt) = 23b70637499deafaf378f7c68764afd674673ad2
+SHA1 (uhd-3.15.0.0.tar.gz) = 17286a52a591038af16e870962c1767c5935ec2f
+RMD160 (uhd-3.15.0.0.tar.gz) = b05282c4b3f25bd201e9b91b3652fba9b150c7ae
+SHA512 (uhd-3.15.0.0.tar.gz) = ef62639d956adb5b4815b8e57bf4d6e62519bcaf509ce87af4f6091b79b4bb78c2bc079ec6f678777c24a146d5d5e1c8f225ad7ac5dba46bec6f8e1cc20309b0
+Size (uhd-3.15.0.0.tar.gz) = 12895934 bytes
+SHA1 (patch-CMakeLists.txt) = 2ba015aad8e08963a2bba8e1fc421aae53207cc5
+SHA1 (patch-docs_CMakeLists.txt) = 27b04f5b3ef7b0604b43d50d95dd948535d4b434
SHA1 (patch-lib_transport_nirio_lvbitx_process-lvbitx.py) = 350af0f9ed999f64105a42195d2df037faef87c7
diff --git a/ham/uhd/patches/patch-CMakeLists.txt b/ham/uhd/patches/patch-CMakeLists.txt
index 228e06f0c41..3c6527f91dd 100644
--- a/ham/uhd/patches/patch-CMakeLists.txt
+++ b/ham/uhd/patches/patch-CMakeLists.txt
@@ -1,22 +1,18 @@
-$NetBSD: patch-CMakeLists.txt,v 1.1 2019/10/04 10:41:44 adam Exp $
+$NetBSD: patch-CMakeLists.txt,v 1.2 2020/04/07 15:51:50 mef Exp $
Allow overrides on PKG_DOC_DIR and PKG_MAN_DIR.
https://github.com/EttusResearch/uhd/issues/301
---- CMakeLists.txt.orig 2019-10-03 18:21:01.000000000 +0000
-+++ CMakeLists.txt
-@@ -128,8 +128,12 @@ set(PKG_DATA_DIR share/uhd)
- if(NOT DEFINED PKG_LIB_DIR)
- set(PKG_LIB_DIR ${LIBRARY_DIR}/uhd)
+--- host/CMakeLists.txt.orig 2020-01-01 13:21:49.000000000 +0900
++++ CMakeLists.txt 2020-04-08 00:03:10.169349083 +0900
+@@ -124,8 +124,9 @@
+ if(NOT DEFINED PKG_DOC_DIR)
+ set(PKG_DOC_DIR share/doc/uhd)
endif()
--set(PKG_DOC_DIR share/doc/uhd)
--set(PKG_MAN_DIR share/man/man1)
-+if(NOT DEFINED PKG_DOC_DIR)
-+ set(PKG_DOC_DIR share/doc/uhd)
-+endif()
+if(NOT DEFINED PKG_MAN_DIR)
-+ set(PKG_MAN_DIR share/man/man1)
+ set(PKG_MAN_DIR share/man/man1)
+-
+endif()
-
########################################################################
# UHD config files
+ ########################################################################
diff --git a/ham/uhd/patches/patch-docs_CMakeLists.txt b/ham/uhd/patches/patch-docs_CMakeLists.txt
index 7e16f217d4d..70bcd1adf3a 100644
--- a/ham/uhd/patches/patch-docs_CMakeLists.txt
+++ b/ham/uhd/patches/patch-docs_CMakeLists.txt
@@ -1,31 +1,36 @@
-$NetBSD: patch-docs_CMakeLists.txt,v 1.1 2019/10/04 10:41:44 adam Exp $
+$NetBSD: patch-docs_CMakeLists.txt,v 1.2 2020/04/07 15:51:50 mef Exp $
Do not compress man pages.
---- docs/CMakeLists.txt.orig 2019-10-03 17:48:04.000000000 +0000
-+++ docs/CMakeLists.txt
-@@ -150,23 +150,5 @@ LIBUHD_REGISTER_COMPONENT("Man Pages" EN
+--- host/docs/CMakeLists.txt.orig 2020-01-01 13:21:49.000000000 +0900
++++ docs/CMakeLists.txt 2020-04-08 00:01:23.449612527 +0900
+@@ -178,28 +178,5 @@
+ LIBUHD_REGISTER_COMPONENT("Man Pages" ENABLE_MAN_PAGES ON "${MAN_PAGES_DEPS}" OFF OFF)
if(ENABLE_MAN_PAGES)
- #Generate man pages
-- foreach(manfile ${man_page_sources})
-- #make the gzip file depend on the text file
-- string(REPLACE ".1" "" PROGRAM_NAME "${manfile}")
-- set(gzfile "${CMAKE_CURRENT_BINARY_DIR}/${manfile}.gz")
-- set(manfile "${CMAKE_CURRENT_SOURCE_DIR}/${manfile}")
-- add_custom_command(
-- OUTPUT ${gzfile}
-- DEPENDS ${manfile}
-- COMMAND ${GZIP_EXECUTABLE} -9 -cf ${manfile} > ${gzfile}
-- COMMENT "Generating ${PROGRAM_NAME} man page"
-- )
--
-- #make the man page target depend on the gz file
-- list(APPEND man_page_gz_files ${gzfile})
-- UHD_INSTALL(FILES ${gzfile} DESTINATION ${PKG_MAN_DIR} COMPONENT manpages)
-- endforeach(manfile ${man_page_sources})
--
-- #make the man pages a build-time dependency
-- add_custom_target(man_page_gzips ALL DEPENDS ${man_page_gz_files})
-+ UHD_INSTALL(FILES ${man_page_sources} DESTINATION ${PKG_MAN_DIR} COMPONENT manpages)
+- #Generate man pages; either compressed or not
+- if(ENABLE_MAN_PAGE_COMPRESSION)
+- # compress man pages
+- foreach(manfile ${man_page_sources})
+- #make the gzip file depend on the text file
+- string(REPLACE ".1" "" PROGRAM_NAME "${manfile}")
+- set(gzfile "${CMAKE_CURRENT_BINARY_DIR}/${manfile}.gz")
+- set(manfile "${CMAKE_CURRENT_SOURCE_DIR}/${manfile}")
+- add_custom_command(
+- OUTPUT ${gzfile}
+- DEPENDS ${manfile}
+- COMMAND ${GZIP_EXECUTABLE} -9 -cf ${manfile} > ${gzfile}
+- COMMENT "Generating ${PROGRAM_NAME} man page"
+- )
+- #make the man page target depend on the gz file
+- list(APPEND man_page_gz_files ${gzfile})
+- endforeach(manfile ${man_page_sources})
+- #make the man pages a build-time dependency
+- UHD_INSTALL(FILES ${man_page_gz_files} DESTINATION ${PKG_MAN_DIR} COMPONENT manpages)
+- add_custom_target(man_page_gzips ALL DEPENDS ${man_page_gz_files})
+- else(ENABLE_MAN_PAGE_COMPRESSION)
+- # uncompressed man pages; just install them
+- UHD_INSTALL(FILES ${man_page_sources} DESTINATION ${PKG_MAN_DIR} COMPONENT manpages)
+- endif(ENABLE_MAN_PAGE_COMPRESSION)
++ UHD_INSTALL(FILES ${man_page_sources} DESTINATION ${PKG_MAN_DIR} COMPONENT manpages)
endif(ENABLE_MAN_PAGES)