summaryrefslogtreecommitdiff
path: root/math
diff options
context:
space:
mode:
authorwiz <wiz@pkgsrc.org>2022-04-03 07:54:53 +0000
committerwiz <wiz@pkgsrc.org>2022-04-03 07:54:53 +0000
commit246a52af848fee29535b5da2b106830cbbc4724c (patch)
tree473146db2ad4cd09fc34d4b63ca26f91090e4e88 /math
parentf7d2cf768e0a6cb83a0df20511723b89ece9ddbb (diff)
downloadpkgsrc-246a52af848fee29535b5da2b106830cbbc4724c.tar.gz
cloog: remove
previously used by gcc, nothing uses it in pkgsrc, upstream stopped development, doesn't build in bulk builds
Diffstat (limited to 'math')
-rw-r--r--math/Makefile3
-rw-r--r--math/cloog/DESCR2
-rw-r--r--math/cloog/Makefile23
-rw-r--r--math/cloog/PLIST30
-rw-r--r--math/cloog/buildlink3.mk15
-rw-r--r--math/cloog/distinfo6
-rw-r--r--math/cloog/patches/patch-test_checker.sh15
7 files changed, 1 insertions, 93 deletions
diff --git a/math/Makefile b/math/Makefile
index 7cf2ca64d59..7fbd64c4455 100644
--- a/math/Makefile
+++ b/math/Makefile
@@ -1,4 +1,4 @@
-# $NetBSD: Makefile,v 1.537 2022/02/24 00:58:00 pho Exp $
+# $NetBSD: Makefile,v 1.538 2022/04/03 07:54:53 wiz Exp $
COMMENT= Mathematics
@@ -152,7 +152,6 @@ SUBDIR+= cgal
SUBDIR+= classias
SUBDIR+= clisp-pari
SUBDIR+= cln
-SUBDIR+= cloog
SUBDIR+= coinmp
SUBDIR+= congen
SUBDIR+= crfsuite
diff --git a/math/cloog/DESCR b/math/cloog/DESCR
deleted file mode 100644
index cd655f9cdb1..00000000000
--- a/math/cloog/DESCR
+++ /dev/null
@@ -1,2 +0,0 @@
-CLooG is a code generator for scanning Z-polyhedra and is used by
-gcc >= 4.4 for loop optimization.
diff --git a/math/cloog/Makefile b/math/cloog/Makefile
deleted file mode 100644
index 27050fc4e28..00000000000
--- a/math/cloog/Makefile
+++ /dev/null
@@ -1,23 +0,0 @@
-# $NetBSD: Makefile,v 1.10 2020/03/21 11:50:10 rillig Exp $
-
-DISTNAME= cloog-0.18.4
-CATEGORIES= math
-MASTER_SITES= http://www.bastoul.net/cloog/pages/download/
-
-MAINTAINER= kuehro@gmx.de
-HOMEPAGE= https://www.cloog.org/
-COMMENT= Code generator for loop optimization (used by gcc)
-LICENSE= gnu-lgpl-v2.1
-
-GNU_CONFIGURE= yes
-USE_LANGUAGES= c
-USE_LIBTOOL= yes
-
-TEST_TARGET= check
-
-CONFIGURE_ARGS+= --with-isl=system
-
-BUILDLINK_API_DEPENDS.gmp+= gmp>=6.0
-.include "../../devel/gmp/buildlink3.mk"
-.include "../../math/isl/buildlink3.mk"
-.include "../../mk/bsd.pkg.mk"
diff --git a/math/cloog/PLIST b/math/cloog/PLIST
deleted file mode 100644
index e58d04a0d02..00000000000
--- a/math/cloog/PLIST
+++ /dev/null
@@ -1,30 +0,0 @@
-@comment $NetBSD: PLIST,v 1.6 2016/10/09 19:46:52 wiz Exp $
-bin/cloog
-include/cloog/block.h
-include/cloog/clast.h
-include/cloog/cloog.h
-include/cloog/constraints.h
-include/cloog/domain.h
-include/cloog/input.h
-include/cloog/int.h
-include/cloog/isl/backend.h
-include/cloog/isl/cloog.h
-include/cloog/isl/constraintset.h
-include/cloog/isl/domain.h
-include/cloog/loop.h
-include/cloog/matrix.h
-include/cloog/matrix/constraintset.h
-include/cloog/names.h
-include/cloog/options.h
-include/cloog/pprint.h
-include/cloog/program.h
-include/cloog/state.h
-include/cloog/statement.h
-include/cloog/stride.h
-include/cloog/union_domain.h
-include/cloog/util.h
-include/cloog/version.h
-lib/cloog-isl/cloog-isl-config.cmake
-lib/isl/isl-config.cmake
-lib/libcloog-isl.la
-lib/pkgconfig/cloog-isl.pc
diff --git a/math/cloog/buildlink3.mk b/math/cloog/buildlink3.mk
deleted file mode 100644
index 26185562be2..00000000000
--- a/math/cloog/buildlink3.mk
+++ /dev/null
@@ -1,15 +0,0 @@
-# $NetBSD: buildlink3.mk,v 1.3 2013/02/17 22:20:44 wiz Exp $
-
-BUILDLINK_TREE+= cloog
-
-.if !defined(CLOOG_BUILDLINK3_MK)
-CLOOG_BUILDLINK3_MK:=
-
-BUILDLINK_API_DEPENDS.cloog+= cloog>=0.18.0nb1
-BUILDLINK_PKGSRCDIR.cloog?= ../../math/cloog
-
-.include "../../devel/gmp/buildlink3.mk"
-.include "../../math/isl/buildlink3.mk"
-.endif # CLOOG_BUILDLINK3_MK
-
-BUILDLINK_TREE+= -cloog
diff --git a/math/cloog/distinfo b/math/cloog/distinfo
deleted file mode 100644
index b5307b533da..00000000000
--- a/math/cloog/distinfo
+++ /dev/null
@@ -1,6 +0,0 @@
-$NetBSD: distinfo,v 1.9 2021/10/26 10:55:37 nia Exp $
-
-BLAKE2s (cloog-0.18.4.tar.gz) = e0ec8e9a874cb72aa2da4c3343f756bab25748229648818982ceffad4b6925bc
-SHA512 (cloog-0.18.4.tar.gz) = d35d67b08ffe13c1a010b65bfe4dd02b0ae013d5b489e330dc950bd3514defca8f734bd37781856dcedf0491ff6122c34eecb4b0fe32a22d7e6bdadea98c8c23
-Size (cloog-0.18.4.tar.gz) = 4796456 bytes
-SHA1 (patch-test_checker.sh) = a62a4fba0f433e6c6685f2d7a92f0cb039a1d1f0
diff --git a/math/cloog/patches/patch-test_checker.sh b/math/cloog/patches/patch-test_checker.sh
deleted file mode 100644
index 0b92ab50c69..00000000000
--- a/math/cloog/patches/patch-test_checker.sh
+++ /dev/null
@@ -1,15 +0,0 @@
-$NetBSD: patch-test_checker.sh,v 1.1 2013/02/20 21:37:13 wiz Exp $
-
-Remove Linuxism in cut(1) call.
-
---- test/checker.sh.orig 2012-12-20 17:22:01.000000000 +0000
-+++ test/checker.sh
-@@ -67,7 +67,7 @@ echo " * Testing CLoo
- echo " *-----------------------------------------------*/"
- for x in $TEST_FILES; do
- name=`echo $x | sed 's/%/ /g' | cut -d\ -f1`;
-- individual_options=`echo $x | sed 's/%/ /g' | cut -s -d\ -f2-`;
-+ individual_options=`echo $x | sed 's/%/ /g' | cut -s -d\ -f2`;
- input="$srcdir/$name.$TEST_INPUT_EXTENSION";
- output="$srcdir/$name.$TEST_OUTPUT_EXTENSION";
- options="$individual_options $TEST_GLOBAL_OPTIONS";