summaryrefslogtreecommitdiff
path: root/cad/verilog-current/patches
diff options
context:
space:
mode:
Diffstat (limited to 'cad/verilog-current/patches')
-rw-r--r--cad/verilog-current/patches/patch-aa17
-rw-r--r--cad/verilog-current/patches/patch-ab18
-rw-r--r--cad/verilog-current/patches/patch-ac11
-rw-r--r--cad/verilog-current/patches/patch-ae13
-rw-r--r--cad/verilog-current/patches/patch-af11
-rw-r--r--cad/verilog-current/patches/patch-ag11
6 files changed, 72 insertions, 9 deletions
diff --git a/cad/verilog-current/patches/patch-aa b/cad/verilog-current/patches/patch-aa
index 6d4a5667164..348505d76a5 100644
--- a/cad/verilog-current/patches/patch-aa
+++ b/cad/verilog-current/patches/patch-aa
@@ -1,11 +1,10 @@
-$NetBSD: patch-aa,v 1.5 2000/12/19 18:53:52 dmcmahill Exp $
+$NetBSD: patch-aa,v 1.6 2001/03/31 00:00:35 dmcmahill Exp $
---- tgt-pal/Makefile.in.orig Thu Dec 14 18:37:47 2000
-+++ tgt-pal/Makefile.in Sun Dec 17 07:52:23 2000
-@@ -63,5 +63,5 @@
+--- vvp/vpi_mcd.cc.orig Wed Mar 21 21:24:05 2001
++++ vvp/vpi_mcd.cc Fri Mar 30 09:47:26 2001
+@@ -79,4 +79,5 @@
+ return NULL;
+ }
++static unsigned int vpi_mcd_open_x(char *name, char *mode);
- pal.tgt: $O $(TGTDEPLIBS)
-- $(CC) @shared@ -o $@ $O $(TGTLDFLAGS) -lipal
-+ $(CC) @shared@ -o $@ $O $(TGTLDFLAGS) $(LDFLAGS) -lipal
-
- clean:
+ unsigned int vpi_mcd_open(char *name)
diff --git a/cad/verilog-current/patches/patch-ab b/cad/verilog-current/patches/patch-ab
new file mode 100644
index 00000000000..df9735025c1
--- /dev/null
+++ b/cad/verilog-current/patches/patch-ab
@@ -0,0 +1,18 @@
+$NetBSD: patch-ab,v 1.3 2001/03/31 00:00:35 dmcmahill Exp $
+
+--- vvp/functor.cc.orig Thu Mar 22 00:28:16 2001
++++ vvp/functor.cc Fri Mar 30 09:47:50 2001
+@@ -185,5 +185,5 @@
+ functor_t idxp = functor_index(idx);
+ vvp_ipoint_t next = idxp->port[ipoint_port(idx)];
+- printf(" set %lx to %u\n", idx, oval);
++ printf(" set %lx to %u\n", (unsigned long) idx, oval);
+ functor_set(idx, oval);
+ idx = next;
+@@ -195,5 +195,5 @@
+ for (unsigned idx = 1 ; idx < functor_count ; idx += 1) {
+ functor_t cur = functor_index(idx*4);
+- fprintf(fd, "%10p: out=%x port={%x %x %x %x}\n", idx*4,
++ fprintf(fd, "%10p: out=%x port={%x %x %x %x}\n",(void *) (idx*4),
+ cur->out, cur->port[0], cur->port[1],
+ cur->port[2], cur->port[3]);
diff --git a/cad/verilog-current/patches/patch-ac b/cad/verilog-current/patches/patch-ac
new file mode 100644
index 00000000000..1168ceac530
--- /dev/null
+++ b/cad/verilog-current/patches/patch-ac
@@ -0,0 +1,11 @@
+$NetBSD: patch-ac,v 1.1 2001/03/31 00:00:35 dmcmahill Exp $
+
+--- tgt-vvp/vvp_scope.c.orig Tue Mar 20 20:49:43 2001
++++ tgt-vvp/vvp_scope.c Fri Mar 30 09:54:01 2001
+@@ -51,5 +51,5 @@
+ }
+
+- ivl_scope_children(net, draw_scope, net);
++ ivl_scope_children(net, (ivl_scope_f *) draw_scope, net);
+ return 0;
+ }
diff --git a/cad/verilog-current/patches/patch-ae b/cad/verilog-current/patches/patch-ae
new file mode 100644
index 00000000000..d851b23a47a
--- /dev/null
+++ b/cad/verilog-current/patches/patch-ae
@@ -0,0 +1,13 @@
+$NetBSD: patch-ae,v 1.3 2001/03/31 00:00:35 dmcmahill Exp $
+
+--- vvp/Makefile.in.orig Thu Mar 22 17:37:36 2001
++++ vvp/Makefile.in Fri Mar 30 11:08:42 2001
+@@ -40,6 +40,6 @@
+ STRIP = @STRIP@
+
+-CPPFLAGS = @CPPFLAGS@ @DEFS@ -DMODULE_DIR=\"$(libdir)/ivl\"
+-CXXFLAGS = @CXXFLAGS@ -I. -I$(srcdir)/..
++CPPFLAGS = -I. -I$(srcdir)/.. @CPPFLAGS@ @DEFS@ -DMODULE_DIR=\"$(libdir)/ivl\"
++CXXFLAGS = @CXXFLAGS@
+ LDFLAGS = @LDFLAGS@
+
diff --git a/cad/verilog-current/patches/patch-af b/cad/verilog-current/patches/patch-af
new file mode 100644
index 00000000000..98f343a765f
--- /dev/null
+++ b/cad/verilog-current/patches/patch-af
@@ -0,0 +1,11 @@
+$NetBSD: patch-af,v 1.1 2001/03/31 00:00:35 dmcmahill Exp $
+
+--- tgt-vvp/Makefile.in.orig Thu Mar 22 00:06:21 2001
++++ tgt-vvp/Makefile.in Fri Mar 30 10:45:46 2001
+@@ -47,5 +47,5 @@
+ %.o: %.c
+ @[ -d dep ] || mkdir dep
+- $(CC) -Wall $(CPPFLAGS) -I$(srcdir)/.. -MD -c $< -o $*.o
++ $(CC) -Wall -I$(srcdir)/.. $(CPPFLAGS) -MD -c $< -o $*.o
+ mv $*.d dep
+
diff --git a/cad/verilog-current/patches/patch-ag b/cad/verilog-current/patches/patch-ag
new file mode 100644
index 00000000000..0d90975e7e2
--- /dev/null
+++ b/cad/verilog-current/patches/patch-ag
@@ -0,0 +1,11 @@
+$NetBSD: patch-ag,v 1.1 2001/03/31 00:00:36 dmcmahill Exp $
+
+--- vpi/Makefile.in.orig Mon Mar 19 20:43:16 2001
++++ vpi/Makefile.in Fri Mar 30 11:06:49 2001
+@@ -51,5 +51,5 @@
+ %.o: %.c
+ @[ -d dep ] || mkdir dep
+- $(CC) -Wall $(CPPFLAGS) $(CFLAGS) -I$(srcdir) -I$(srcdir)/.. -MD -c $< -o $*.o
++ $(CC) -Wall -I$(srcdir) -I$(srcdir)/.. $(CPPFLAGS) $(CFLAGS) -MD -c $< -o $*.o
+ mv $*.d dep
+