summaryrefslogtreecommitdiff
path: root/cad
diff options
context:
space:
mode:
Diffstat (limited to 'cad')
-rw-r--r--cad/verilator/Makefile5
-rw-r--r--cad/verilator/PLIST54
-rw-r--r--cad/verilator/distinfo10
3 files changed, 43 insertions, 26 deletions
diff --git a/cad/verilator/Makefile b/cad/verilator/Makefile
index b4257408c44..881d38ef9ca 100644
--- a/cad/verilator/Makefile
+++ b/cad/verilator/Makefile
@@ -1,6 +1,6 @@
-# $NetBSD: Makefile,v 1.4 2019/08/23 13:05:49 ryoon Exp $
+# $NetBSD: Makefile,v 1.5 2020/03/18 13:33:38 ryoon Exp $
-DISTNAME= verilator-4.016
+DISTNAME= verilator-4.030
CATEGORIES= cad
MASTER_SITES= https://www.veripool.org/ftp/
EXTRACT_SUFX= .tgz
@@ -17,6 +17,7 @@ USE_LANGUAGES= c c++
TOOLS_PLATFORM.flex= # Use flex from pkgsrc
+REPLACE_PERL+= bin/*
REPLACE_PERL+= test_regress/*.pl
REPLACE_PERL+= test_regress/t/*.pl
diff --git a/cad/verilator/PLIST b/cad/verilator/PLIST
index 3d2d330c0c3..9c3bde727be 100644
--- a/cad/verilator/PLIST
+++ b/cad/verilator/PLIST
@@ -1,4 +1,4 @@
-@comment $NetBSD: PLIST,v 1.2 2019/04/01 12:22:53 ryoon Exp $
+@comment $NetBSD: PLIST,v 1.3 2020/03/18 13:33:38 ryoon Exp $
bin/verilator
bin/verilator_bin
bin/verilator_bin_dbg
@@ -12,24 +12,38 @@ man/man1/verilator_gantt.1
man/man1/verilator_profcfunc.1
share/pkgconfig/verilator.pc
share/verilator/bin/verilator_includer
-share/verilator/examples/hello_world_c/Makefile
-share/verilator/examples/hello_world_c/sim_main.cpp
-share/verilator/examples/hello_world_c/top.v
-share/verilator/examples/hello_world_sc/Makefile
-share/verilator/examples/hello_world_sc/sc_main.cpp
-share/verilator/examples/hello_world_sc/top.v
-share/verilator/examples/tracing_c/Makefile
-share/verilator/examples/tracing_c/Makefile_obj
-share/verilator/examples/tracing_c/input.vc
-share/verilator/examples/tracing_c/sim_main.cpp
-share/verilator/examples/tracing_c/sub.v
-share/verilator/examples/tracing_c/top.v
-share/verilator/examples/tracing_sc/Makefile
-share/verilator/examples/tracing_sc/Makefile_obj
-share/verilator/examples/tracing_sc/input.vc
-share/verilator/examples/tracing_sc/sc_main.cpp
-share/verilator/examples/tracing_sc/sub.v
-share/verilator/examples/tracing_sc/top.v
+share/verilator/examples/cmake_hello_c/CMakeLists.txt
+share/verilator/examples/cmake_hello_c/Makefile
+share/verilator/examples/cmake_hello_sc/CMakeLists.txt
+share/verilator/examples/cmake_hello_sc/Makefile
+share/verilator/examples/cmake_protect_lib/CMakeLists.txt
+share/verilator/examples/cmake_protect_lib/Makefile
+share/verilator/examples/cmake_tracing_c/CMakeLists.txt
+share/verilator/examples/cmake_tracing_c/Makefile
+share/verilator/examples/cmake_tracing_sc/CMakeLists.txt
+share/verilator/examples/cmake_tracing_sc/Makefile
+share/verilator/examples/make_hello_c/Makefile
+share/verilator/examples/make_hello_c/sim_main.cpp
+share/verilator/examples/make_hello_c/top.v
+share/verilator/examples/make_hello_sc/Makefile
+share/verilator/examples/make_hello_sc/sc_main.cpp
+share/verilator/examples/make_hello_sc/top.v
+share/verilator/examples/make_protect_lib/Makefile
+share/verilator/examples/make_protect_lib/secret_impl.v
+share/verilator/examples/make_protect_lib/sim_main.cpp
+share/verilator/examples/make_protect_lib/top.v
+share/verilator/examples/make_tracing_c/Makefile
+share/verilator/examples/make_tracing_c/Makefile_obj
+share/verilator/examples/make_tracing_c/input.vc
+share/verilator/examples/make_tracing_c/sim_main.cpp
+share/verilator/examples/make_tracing_c/sub.v
+share/verilator/examples/make_tracing_c/top.v
+share/verilator/examples/make_tracing_sc/Makefile
+share/verilator/examples/make_tracing_sc/Makefile_obj
+share/verilator/examples/make_tracing_sc/input.vc
+share/verilator/examples/make_tracing_sc/sc_main.cpp
+share/verilator/examples/make_tracing_sc/sub.v
+share/verilator/examples/make_tracing_sc/top.v
share/verilator/include/gtkwave/fastlz.c
share/verilator/include/gtkwave/fastlz.h
share/verilator/include/gtkwave/fst_config.h
@@ -70,3 +84,5 @@ share/verilator/include/verilated_vpi.h
share/verilator/include/verilatedos.h
share/verilator/include/vltstd/svdpi.h
share/verilator/include/vltstd/vpi_user.h
+share/verilator/verilator-config-version.cmake
+share/verilator/verilator-config.cmake
diff --git a/cad/verilator/distinfo b/cad/verilator/distinfo
index f83ecab5824..8419fea6d35 100644
--- a/cad/verilator/distinfo
+++ b/cad/verilator/distinfo
@@ -1,7 +1,7 @@
-$NetBSD: distinfo,v 1.3 2019/08/23 13:05:49 ryoon Exp $
+$NetBSD: distinfo,v 1.4 2020/03/18 13:33:38 ryoon Exp $
-SHA1 (verilator-4.016.tgz) = dce30a001574e743198179e4f95939d84b69c7f8
-RMD160 (verilator-4.016.tgz) = eba0e31b5b4a9769fb65b842c9a4b9d4b34ecfb6
-SHA512 (verilator-4.016.tgz) = 14bb1d0493103e702b1cbe0ea7c639c04cafa87f204952f88e629012dde1fcecf8e1e51569ff7a422b4dcb0566d0fae35acc681b2e47ae88fac6937362ff3254
-Size (verilator-4.016.tgz) = 2536449 bytes
+SHA1 (verilator-4.030.tgz) = 6f70b648a2962ba52b31dd46684b7fad20cbd9c4
+RMD160 (verilator-4.030.tgz) = 36f50f095926f88dc3859ea6504fb07593f928ec
+SHA512 (verilator-4.030.tgz) = 5e1ab57a8ed51b04f6c97798c13f2f3ae24aa1ec2a2b8c9ae98099b16b2f7e9b21171412391832239464d3ad71f8f89b685a20eaa77c005b386a1db6eae02da7
+Size (verilator-4.030.tgz) = 2496204 bytes
SHA1 (patch-Makefile.in) = 3c91715cdfaba04120ada7a328b46e0571767e06