summaryrefslogtreecommitdiff
AgeCommit message (Collapse)AuthorFilesLines
2016-10-08Import iverilog (Icarus Verilog) 10.1.1 as cad/iverilogkamil8-0/+182
It's a rename of cad/verilog to a better name. Updated DESCR for new package: Icarus Verilog is intended to compile ALL of the Verilog HDL as described in the IEEE-1364 standard. Of course, it's not quite there yet. It does currently handle a mix of structural and behavioral constructs. Icarus Verilog is not aimed at being a simulator in the traditional sense, but a compiler that generates code employed by back-end tools. No objections to rename from <gdt>
2016-10-08verilog-10.1.1 donekamil1-2/+1
2016-10-08Updated cad/verilog to 10.1.1kamil1-1/+2
2016-10-08Update cad/verilog (icarus verilog) from 0.9.7 to 10.1.1kamil6-135/+42
pkgsrc changes: - note GitHub tags (but not use them for now) - remove conflict with nonexistent verilog-current - install additional documentation in share/doc/ivl (not share/ivl) - drop DESTDIR gymnastics - build works without it - (re)enable gperf dependency - regenerate buildlink3.mk - drop patches/patch-lexor_keyword.cc - no longer needed - patches/patch-vpi_Makefile partially fixed upstream - rest not needed upstream changelog ================== Probably the only notes available: Here are the release notes for Icarus Verilog release branch 10. The 10 release is a huge improvement over the 0.9 release series, in every aspect. Much more of the Verilog and SystemVerilog language is supported, many bugs have been fixed, and performance has improved. The changes (improvements!) are so numerous that there is no point attempting to enumerate them. -- http://iverilog.wikia.com/wiki/Release_Notes_Icarus_Verilog_10
2016-10-08covered-0.7.10 donekamil1-5/+1
covered-current-20091126 package dropped verilog-current-20130827 package dropped verilog-current-20150513 package dropped
2016-10-08Updated cad/covered to 0.7.10kamil1-1/+2
2016-10-08Update cad/covered from 0.4.7 to 0.7.10kamil5-123/+156
Local changes: - set LICENSE (gnu-gpl-v2) - replace DEPENDS of verilog to blk3 - stop replacing shebang for WISH - no longer needed - stop helping to find tclConfig.sh and tkConfig.sh - no longer needed - comment rationale for -DUSE_INTERP_RESULT (TCL/TK compatibility) - drop patch-src_lxt2__read.c - fixed upstream (differently) - comment and regenerate patch-src_lxt2__read.h Upstream changelog (partial changes prior 0.7 not known) ================== 0.7.10 Stable release covered-0.7.10 made. This release updates the FST library to the latest version which contains some fixes and enhancements. Stable release covered-0.7.9 11/21/2010 01:03 AM Filed in: Releases Stable release covered-0.7.9 made. This release is a bug fix and minor feature enhancement release. Here are the details: Cleaned up error messages emitted from the clang utility. Updated GUI to use the ttk styled widgets for a more uniform look and allows the user to change the overall look of the GUI using a preference item. Handling issue where a CDD file was created in a different directory and we attempt to load it in a different environment. Previously, a stack trace was emitted rather than just the user error message. Updated copyright dates to include 2010 Added FST dumpfile scoring (new -fst option added to the score command to accommodate this option). Adding support for "wire real" and associated code to Verilog parser. Fixing issue with memory coverage. Fixing bug 3054545. When a merged CDD file was used in an exclude command, a segmentation fault would occur. Added support for constant assignment to reals. Added support for "parameter integer" and "parameter real". Added parsing support for the $fopenw system task. Added support for performing +: and -: part selection on the left-hand-side of assignment expressions. Fixed various memory overrun and memory leak issues that caused instability issues within the GUI. Fixed GUI combinational logic issue where incorrect highlighting/underlining was occurring for uncovered expressions. Fixed GUI issue with next/previous button traversal for combinational logic. Enhanced the regression suite to verify all of the new features mentioned above. Updated user guide HTML output to include the Covered banner to the top of each page. User guide and man pages have been updated per these changes. On a side note, active work on Covered's development branch(es) has stopped indefinitely. I plan to support the current feature set in the 0.7.x branch with possible minor enhancements as requested. Please feel free to continue to send me e-mail and/or submit bug reports against the 0.7.x stable releases. Stable release covered-0.7.8 03/24/2010 10:20 PM Filed in: Releases Stable release covered-0.7.8 made. This release is primarily a bug fix release, but it does contain a few new minor features and Verilog language enhancements. Here are the details: Fixed bug 2912587. Using the -f option with the merge command was causing errors. Fixed bug 2912679. If the GUI was invoked (i.e., covered report -view) and an error in command-line parsing occurred, Covered segfaulted. Added ability to specify the CDD on the report command-line when starting the GUI (i.e., covered report -view foobar.cdd) which will automatically load the specified CDD files into the GUI on startup. Feature request 2912698. Fixed bug 2925756. An expression surrounded by the parenthesis could cause a segmentation fault when parsing. Support has been added for NC-Verilog VPI usage. Fixed bug 2926579. Changing from a known value to an X value should cause no change in toggle coverage; however, when we transition back to a known value and it differs from the previously known value, we record a toggle coverage change. Example: 0 -> X -> 0 (no change in coverage), 0 -> X -> 1 (change in coverage). Fixed bug 2927285. Segmentation faults could occur when excluding FSM and combinational logic cases. Added support for the $clog system function call. Fixed bug 2929948. Assignments to a concatentation of signals could lead to segmentation fault. Fixed issue in the LXT2 reader that resulted in a memory leak. Fixed bug 2933112. Added full support for out-of-bounds assignment. Added new -T global option that provides a "terse" output which outputs the Covered header and warnings/errors only (less output than using none of the global output verbosity options). Feature request 2952492. Fixed bug 2960887. Adds support for creating a definition which contains no user value (i.e., `define FOO). Covered was incorrectly assigning a value of 1 to these types of defines. Fixed bug 2958529. Zero width replications are now supported by Covered (i.e., {0{a & b}}) Fixed bug 2974860. Fixed issue with FSM state input/output variables being output to an ASCII report file correctly. Added ability to allow the "trans" parameter to Covered FSM attributes to contain additional characters after it. Some simulators don't like Verilog attributes having the same name for multiple parameters. Feature request 2976039. User guide has been updated per these changes. Development release covered-20091126 11/26/2009 10:10 PM Filed in: Releases Development release covered-20091126 made. This is a bug fix release only. Stable release covered-0.7.7 10/24/2009 10:09 PM Filed in: Releases Stable release covered-0.7.7 made. This is a bug fix release only. Fixed compilation warnings when compiling on 64-bit Mac OS X and Debian-based platforms. Updates to build scripts to help downstream Debian releases builds. Fixed bug 2880705. $Id: keywords containing newlines are now handled properly. Additionally, fixing issues with multiply instantiated modules within a generate block. Fixed bug 2881869. Fixed a stack overflow issue in the gen_item_resolve function that would cause segmentation faults when too many items were being generated within a single generate block. Fixed bug 2882433. Fixed the "ERROR! Parameter used in expression but not defined in current module" error when a generated module instance has a parameter override of a parameter with the same name as the parameter within the module that contains the generate block. Stable release covered-0.7.6 08/24/2009 10:12 PM Filed in: Releases Stable release covered-0.7.6 made. This is a bug fix release only. Fixed misspelling in report generator code (misspelling showed up in text reports) Fixed issues with performing module merging with modules containing generate blocks configured differently for different instantiations of the same module. Stable release covered-0.7.5 08/02/2009 10:20 PM Filed in: Releases Stable release covered-0.7.5 made. This is a bug fix release only. Fixed bug 2808818. If a generate variable name collided with a reg/wire name, Covered was not emitting an error. Fixed bug 2808820. If no signal was used from the dumpfile and at least one signal needs information from the dumpfile, Covered needed to signal a user error. Fixed bug 2812321. Parameterized/generated modules could get incorrect coverage calculated for them. Fixed bug 2812495. Fixed a crash issue. There is another part to this bug report that is not fixed, however. Fixed bug 2813405. A design run with the -g score option caused the GUI to freeze when viewed. Fixed bug 2813948. Fixed assertion issue with merging scored and unscored CDD files. Development release covered-20090802 08/02/2009 10:19 PM Filed in: Releases Development release covered-20090802 made. This development release adds several performance enhancements and bug fixes to the new inlined code coverage flow, including the following: Adding support for $random and $urandom system calls to inlined coverage. Includes all fixes made to the stable 0.7.5 release. Adding support for $value$plusargs system calls to inlined coverage. Fixing issue with generated IF statements. Added user documentation for inlined coverage flow and score options. Fixing issue with generated code interrupting comma-separated assign statements. Performed code simplification and performance improvement with the way statements were handled internally. Removed unnecessary calls to simulation functions when using inlined code coverage (this added a performance penalty). Improved performance of inlined code generator for sizing generated signals. Fixed memory indexing issues related to memory coverage. Added support for static function and static ternary operators for inlined code coverage. Added code to differentiate functions used statically and not to do the right thing for inlined code coverage accumulation. Added vcd_diff script which checks the dumpfile output from non-inlined and inlined design files to verify that the inlined code generator does not change the result. This check is now a part of all inlined regression runs. Made several performance improvements to the VCD file reader. The reader is now 10-20% faster. Added support for Verilator regressions runs and ported a couple of diagnostics to Verilator format. Adding check to make sure that a CDD file without inlined mode set that reads a VCD file containing inlined coverage data emits an error to the user and exits gracefully. Added -inline-comb-depth score option to allow the user to specify a shallower combinational coverage depth to be generated -- improving inlined simulation and coverage performance. For Verilator runs, inserted pragmas around intermediate combinational logic expression signals to exclude them from being output to VCD files. This improves simulation and coverage performance for Verilator runs (other simulators that have a VPI that automatically remove these signals from generating change callbacks). Performing code replace of some actual code with pre-calculated intermediate expression values for further simulation performance improvements. Added "e" option to -inline-metrics which allows event coverage to be turned on/off independently of other combinational logic coverage. This allows further simulation and coverage performance improvements (especially for Verilator runs). Added optimization that causes code generation to be skipped for assertion files when assertion coverage is not required. Full regressions now runs cleanly with all code changes. Stable release covered-0.7.4 06/17/2009 10:21 PM Filed in: Releases Stable release covered-0.7.4 made. This is a bug fix release only. Updated regression files for the new 2.4 version of the OVL. Fixed bug 2804585. Memory reads in LHS part selects were not being marked for memory coverage. Fixed issue with VPI usage in a VCS simulation with generate statements. Fixed bug 2805191. Automatic tasks/functions that manipulate variables outside of the task/function can cause incorrect toggle coverage for those signals. Fixed bug 2806855. Generate blocks generating module instantiations could lead to score command errors (segfaults, internal assertion errors, etc.) Stable release covered-0.7.3 06/04/2009 10:22 PM Filed in: Releases Stable release covered-0.7.3 made. This primarily fixes a few bugs in the compile of Covered "out of the box". It seems that even with the regression testbench, things can still slip through the cracks :( Anyhow, please use this release instead of the 0.7.2 release. Stable release covered-0.7.2 05/09/2009 10:23 PM Filed in: Releases Stable release covered-0.7.2 made. This is primarily a bug fix release with a few new features added to the CLI. Here are the details of the changes. Fixed bug 2791651. Memory deallocation errors occurred when syntax errors were being reported by the parser. Fixed bug 2791599. Whitespace prior to a `line or #line directive were not being handled properly. Fixed bug 2794588. If a module was specified in a -v option after its directory was specified by the -y option to the score command, the module was not found for parsing. Fixed bug 2794684. If a normal (not generate) case statement within a generate block will output the case expression to be output to the CDD more than once, leading to internal assertion errors when the CDD file is read. Fixed bug 2795088. When a CDD file is opened from the wizard GUI window, the open file window can be placed behind the wizard window. Instead the wizard window should disappear once a selection button has been clicked. Fixed bug 2795086. If the user clicked on the global exclusion reason listbox when it is empty, a Tcl/Tk error message box was raised. Fixed bug 2795089. If the GUI detailed combinational logic window is used to view several expressions one after the other, Covered can segfault. Fixed bug 2795583. Score command segfaults when a module is instantiated within a generate block and overrides a parameter value within the module. Fixed bug 2795640. Variables instantiated within a generate block caused issues with Covered when simulated with VCS. Fixed bug where memory elements being assigned via non-blocking assignments were not being evaluated, leading to incorrect coverage output. CLI updates/fixes: When the 'debug on' command is specified, a line specifying that the debug mode is now on is output (previously nothing was output (because the debug mode was off). Changed the 'debug on' command to 'debug less' and 'debug more' where the prior only outputs the executed statements and timestep information during simulation while the latter outputs what 'debug on' used to output (extremely verbose). Fixed bug 2795209. When an unknown CLI command was specified, a memory error occurred. Fixed bug 2795215. Status bar was attempting to be output during simulation when debug mode was turned on. This created some unreadable/messy output. Changed the 'goto ' command to 'goto time '. Added 'goto line [:]' command which simulates until the specified line number is about to be simulated. Added 'goto expr ' command which simulates until the given expression evaluates to a value of true. Added support for handling the Ctrl-C interrupt when the score command is simulating with the -cli option specified. In this case, simulation will immediately stop and return a CLI prompt which will allow the user to continue interacting with the simulation. Updated user guide documentation to include the changes made to the CLI. Stable release covered-0.7.1 05/07/2009 10:24 PM Filed in: Releases Stable release covered-0.7.1 made. This is a bug fix release only. Here are the details: Fixed bug 2782473. CDD files being merged from different testbenches but with similar leading hierarchy (but different top-level modules) which would lead to internal assertion errors. Fixed bug 2785453. Wires declared in generated named scopes were not handled correctly by Covered in VPI mode of operation, leading to inaccurate coverage information. Fixed bug 2786986. An always block with a part select in the sensitivity list was triggering on the entire signal change rather than the specific part select, leading to a potential degradation in performance and inaccuracy in coverage information. Allow time variable types to be included for coverage. Fixing permission issue with the install-sh script that some people would get after first downloading and installing. Updated README and INSTALL files to be more accurate. Fixed coverage accuracy issue for code that uses variable part selects in LHS of expressions. Stable release covered-0.7 04/26/2009 10:24 PM Filed in: Releases Stable release covered-0.7 made. This is a significant improvement over the 0.6 release, providing Verilog language enhancements, significant score optimizations, new rank and exclude commands, an enhanced merging capability, a multitude of GUI enhancements, a complete overhaul of the user documentation, many bug fixes, and much more.
2016-10-08+ bind-9.11.0, isc-dhcp-4.3.5.taca1-2/+3
- roundcube-1.2.1.
2016-10-08Drop conflict with nonexistent covered-currentkamil1-3/+1
2016-10-08Note update of Roundcube Web mail to 1.2.2.taca1-1/+5
mail/roundcube mail/roundcube-plugin-enigma mail/roundcube-plugin-password mail/roundcube-plugin-zipdownload
2016-10-08Update roundcube-plugin-zipdownload to 1.2.2.taca1-5/+5
- Fix bug where names of downloaded files could be malformed when derived from the message subject (#5404)
2016-10-08Update roundcube-plugin-password to 1.2.2.taca1-5/+5
None except version.
2016-10-08Update roundcube-plugin-enigma to 1.2.2.taca1-5/+5
- Enigma: Add possibility to configure gpg-agent binary location (enigma_pgp_agent) - Enigma: Fix signature verification with some IMAP servers, e.g. Gmail, DBMail (#5371) - Enigma: Make recipient key searches case-insensitive (#5434)
2016-10-08Update roundcube to 1.2.2.taca2-7/+7
RELEASE 1.2.2 ------------- - Fix regression in resizing JPEG images with Imagick (#5376) - Managesieve: Fix parsing of vacation date-time with non-default date_format (#5372) - Use SymLinksIfOwnerMatch in .htaccess instead of FollowSymLinks disabled on some hosts for security reasons (#5370) - Wash position:fixed style in HTML mail for better security (#5264) - Fix bug where memcache_debug didn't work for session operations - Fix bug where Message-ID domain part was tied to username instead of current identity (#5385) - Fix bug where blocked.gif couldn't be attached to reply/forward with insecure content - Fix E_DEPRECATED warning when using Auth_SASL::factory() (#5401) - Fix bug where names of downloaded files could be malformed when derived from the message subject (#5404) - Fix so "All" messages selection is resetted on search reset (#5413) - Fix bug where folder creation could fail if personal namespace contained more than one entry (#5403) - Fix error causing empty INBOX listing in Firefox when using an URL with user:password specified (#5400) - Fix PHP warning when handling shared namespace with empty prefix (#5420) - Fix so folders list is scrolled to the selected folder on page load (#5424) - Fix so when moving to Trash we make sure the folder exists (#5192) - Fix displaying size of attachments with zero size - Fix so "Action disabled" error uses more appropriate 404 code (#5440)
2016-10-08Updated cad/covered to 0.4.7nb6kamil1-1/+2
2016-10-08Detach the cad/verilog-current dependencykamil1-3/+3
Bump PKGREVISION to 6.
2016-10-08Removed cad/covered-currentkamil1-1/+2
2016-10-08Drop covered-currentkamil6-273/+0
It used to track cad/covered but the last upgrade happened to be 20060904.
2016-10-08Detach cad/covered-currentkamil1-2/+1
2016-10-08Removed cad/verilog-currentkamil1-1/+2
2016-10-08Remove verilog-currentkamil8-183/+0
It used to track icarus verilog but there is no update since 20090923. No objections from <gdt>
2016-10-08Detach cad/verilog-currentkamil1-2/+1
2016-10-08Added databases/lua-sql-mysql version 2.3.2kamil1-1/+5
Added databases/lua-sql-postgres version 2.3.2 Added databases/lua-sql-sqlite version 2.3.2 Added databases/lua-sql-sqlite3 version 2.3.2
2016-10-08Added:kamil1-1/+5
- lua-sql-mysql - lua-sql-postgres - lua-sql-sqlite - lua-sql-sqlite3
2016-10-08Import SQLite 3.x luasql 2.3.2 as databases/lua-sql-sqlite3kamil3-0/+24
LuaSQL is a simple interface from Lua to a DBMS. It enables a Lua program to: * Connect to ODBC, Oracle, MySQL and PostgreSQL databases; * Execute arbitrary SQL statements; * Retrieve results in a row-by-row cursor fashion; This package provides access to SQLite 3.x.
2016-10-08Import SQLite 2.x luasql 2.3.2 as databases/lua-sql-sqlitekamil3-0/+24
LuaSQL is a simple interface from Lua to a DBMS. It enables a Lua program to: * Connect to ODBC, Oracle, MySQL and PostgreSQL databases; * Execute arbitrary SQL statements; * Retrieve results in a row-by-row cursor fashion; This package provides access to SQLite 2.x.
2016-10-08Import PostgreSQL luasql 2.3.2 as databases/lua-sql-postgreskamil3-0/+24
LuaSQL is a simple interface from Lua to a DBMS. It enables a Lua program to: * Connect to ODBC, Oracle, MySQL and PostgreSQL databases; * Execute arbitrary SQL statements; * Retrieve results in a row-by-row cursor fashion; This package provides access to PostgreSQL.
2016-10-08Import MySQL luasql 2.3.2 as databases/lua-sql-mysqlkamil5-0/+58
LuaSQL is a simple interface from Lua to a DBMS. It enables a Lua program to: * Connect to ODBC, Oracle, MySQL and PostgreSQL databases; * Execute arbitrary SQL statements; * Retrieve results in a row-by-row cursor fashion; This package provides access to MySQL.
2016-10-08Enable generic SQL backend support. Fixes pkg/51536. PKGREVISION++fhajny2-4/+6
2016-10-08This requires libdrm_amdgpu.so from x11/libdrm. Fix buildryoon1-1/+2
2016-10-08Updated devel/nss to 3.27.1ryoon1-1/+2
2016-10-08Update to 3.27.1ryoon2-8/+8
Changelog: The NSS team has released Network Security Services (NSS) 3.27.1. This is a patch release to address a TLS compatibility issue  that some applications experienced with NSS 3.27. Notable Changes: Availability of the TLS 1.3 (draft) implementation has been re-disabled in the default build. Previous versions of NSS made TLS 1.3 (draft) available only when compiled with NSS_ENABLE_TLS_1_3. NSS 3.27 set this value on by default, allowing TLS 1.3 (draft) to be disabled using NSS_DISABLE_TLS_1_3, although the maximum version used by default remained TLS 1.2. However, some applications query the list of protocol versions that are supported by the NSS library, and enable all supported TLS protocol versions. Because NSS 3.27 enabled compilation of TLS 1.3 (draft) by default, it caused those applications to enable TLS 1.3 (draft). This resulted in connectivity failures, as some TLS servers are version 1.3 intolerant, and failed to negotiate an earlier TLS version with NSS 3.27 clients.
2016-10-08Updated net/youtube-dl to 20161007leot1-1/+2
2016-10-08Update net/youtube-dl to youtube-dl-20161007leot3-11/+29
Changes: version 2016.10.07 Extractors + [iprima] Detect geo restriction * [facebook] Fix video extraction (#10846) + [commonprotocols] Support direct MMS links (#10838) + [generic] Add support for multiple vimeo embeds (#10862) + [nzz] Add support for nzz.ch (#4407) + [npo] Detect geo restriction + [npo] Add support for 2doc.nl (#10842) + [lego] Add support for lego.com (#10369) + [tonline] Add support for t-online.de (#10376) * [techtalks] Relax URL regular expression (#10840) * [youtube:live] Extend URL regular expression (#10839) + [theweatherchannel] Add support for weather.com (#7188) + [thisoldhouse] Add support for thisoldhouse.com (#10837) + [nhl] Add support for wch2016.com (#10833) * [pornoxo] Use JWPlatform to improve metadata extraction version 2016.10.02 Core * Fix possibly lost extended attributes during post-processing + Support pyxattr as well as python-xattr for --xattrs and --xattr-set-filesize (#9054) Extractors + [jwplatform] Support DASH streams in JWPlayer + [jwplatform] Support old-style JWPlayer playlists + [byutv:event] Add extractor * [periscope:user] Fix extraction (#10820) * [dctp] Fix extraction (#10734) + [instagram] Extract video dimensions (#10790) + [tvland] Extend URL regular expression (#10812) + [vgtv] Add support for tv.aftonbladet.se (#10800) - [aftonbladet] Remove extractor * [vk] Fix timestamp and view count extraction (#10760) + [vk] Add support for running and finished live streams (#10799) + [leeco] Recognize more Le Sports URLs (#10794) + [instagram] Extract comments (#10788) + [ketnet] Extract mzsource formats (#10770) * [limelight:media] Improve HTTP formats extraction version 2016.09.27 Core + Add hdcore query parameter to akamai f4m formats + Delegate HLS live streams downloading to ffmpeg + Improved support for HTML5 subtitles Extractors + [vk] Add support for dailymotion embeds (#10661) * [promptfile] Fix extraction (#10634) * [kaltura] Speed up embed regular expressions (#10764) + [npo] Add support for anderetijden.nl (#10754) + [prosiebensat1] Add support for advopedia sites * [mwave] Relax URL regular expression (#10735, #10748) * [prosiebensat1] Fix playlist support (#10745) + [prosiebensat1] Add support for sat1gold sites (#10745) + [cbsnews:livevideo] Fix extraction and extract m3u8 formats + [brightcove:new] Add support for live streams * [soundcloud] Generalize playlist entries extraction (#10733) + [mtv] Add support for new URL schema (#8169, #9808) * [einthusan] Fix extraction (#10714) + [twitter] Support Periscope embeds (#10737) + [openload] Support subtitles (#10625) version 2016.09.24 Core + Add support for watchTVeverywhere.com authentication provider based MSOs for Adobe Pass authentication (#10709) Extractors + [soundcloud:playlist] Provide video id for early playlist entries (#10733) + [prosiebensat1] Add support for kabeleinsdoku (#10732) * [cbs] Extract info from thunder videoPlayerService (#10728) * [openload] Fix extraction (#10408) + [ustream] Support the new HLS streams (#10698) + [ooyala] Extract all HLS formats + [cartoonnetwork] Add support for Adobe Pass authentication + [soundcloud] Extract license metadata + [fox] Add support for Adobe Pass authentication (#8584) + [tbs] Add support for Adobe Pass authentication (#10642, #10222) + [trutv] Add support for Adobe Pass authentication (#10519) + [turner] Add support for Adobe Pass authentication version 2016.09.19 Extractors + [crunchyroll] Check if already authenticated (#10700) - [twitch:stream] Remove fallback to profile extraction when stream is offline * [thisav] Improve title extraction (#10682) * [vyborymos] Improve station info extraction version 2016.09.18 Core + Introduce manifest_url and fragments fields in formats dictionary for fragmented media + Provide manifest_url field for DASH segments, HLS and HDS + Provide fragments field for DASH segments * Rework DASH segments downloader to use fragments field + Add helper method for Wowza Streaming Engine formats extraction Extractors + [vyborymos] Add extractor for vybory.mos.ru (#10692) + [xfileshare] Add title regular expression for streamin.to (#10646) + [globo:article] Add support for multiple videos (#10653) + [thisav] Recognize HTML5 videos (#10447) * [jwplatform] Improve JWPlayer detection + [mangomolo] Add support for Mangomolo embeds + [toutv] Add support for authentication (#10669) * [franceinter] Fix upload date extraction * [tv4] Fix HLS and HDS formats extraction (#10659)
2016-10-08Updated lang/php71 to 7.1.0rc3jdolecek1-1/+2
2016-10-08Update php71 to 7.1.0RC3jdolecek3-14/+15
Changes: - Core: . Fixed bug #73156 (segfault on undefined function). (Dmitry) . Fixed bug #73163 (PHP hangs if error handler throws while accessing undef const in default value). (Nikita) . Fixed bug #73172 (parse error: Invalid numeric literal). (Nikita, Anatol) . Fixed bug #73181 (parse_str() without a second argument leads to crash). (Nikita) - COM: . Fixed bug #73126 (Cannot pass parameter 1 by reference). (Anatol) . Fixed bug #69579 (Invalid free in extension trait). (John Boehr) - GD: . Fixed bug #50194 (imagettftext broken on transparent background w/o alphablending). (cmb) . Fixed bug #73003 (Integer Overflow in gdImageWebpCtx of gd_webp.c). (trylab, cmb) . Fixed bug #53504 (imagettfbbox gives incorrect values for bounding box). (Mark Plomer, cmb) . Fixed bug #73157 (imagegd2() ignores 3rd param if 4 are given). (cmb) . Fixed bug #73155 (imagegd2() writes wrong chunk sizes on boundaries). (cmb) . Fixed bug #73159 (imagegd2(): unrecognized formats may result in corrupted files). (cmb) . Fixed bug #73161 (imagecreatefromgd2() may leak memory). (cmb) - JSON: . Fixed bug #73113 (Segfault with throwing JsonSerializable). (julien) - PCRE: . Fixed bug #73121 (Bundled PCRE doesn't compile because JIT isn't supported on s390). (Anatol) - PDO_DBlib: . Fixed bug #72414 (Never quote values as raw binary data). (Adam Baratz) . Allow \PDO::setAttribute() to set query timeouts. (Adam Baratz) . Handle SQLDECIMAL/SQLNUMERIC types, which are used by later TDS versions. (Adam Baratz) . Add common PDO test suite. (Adam Baratz) . Free error and message strings when cleaning up PDO instances. (Adam Baratz) . Fixed bug #67130 (\PDOStatement::nextRowset() should succeed when all rows in current rowset haven't been fetched). (Peter LeBrun) . Ignore potentially misleading dberr values. (Chris Kings-Lynne) - phpdbg: . Added generator command for inspection of currently alive generators. (Bob) - Reflection . Undo backwards compatiblity break in ReflectionType->__toString() and deprecate via documentation instead. (Nikita) - Session: . Fixed bug #73100 (session_destroy null dereference in ps_files_path_create). (cmb)
2016-10-08Updated MASTER_SITES and HOMEPAGE; the old ones seem to be dead.adam1-4/+3
2016-10-08Version 1.8.8 (built with libpng-1.6.26beta06 and zlib-1.2.8.1)adam3-18/+19
Fixed "nolib" build (bug report by Hanspeter Niederstrasser). Make sure we use system-png.h, and not the local file. It is now possible to build either the regular pngcrush or the "nolib" pngcrush in the complete pngcrush source directory (use "make clean" before rebuilding!) Fixed timing when using "clock()". Sometimes an additional second was added when the timer crossed a one-second boundary, since version 1.8.5. Upgrade libpng to version 1.6.26beta06 and zlib to 1.2.8.1. Use zlib-1.2.8.1 new "inflateValidate()" function to avoid checking ADLER32 checksums. Version 1.8.7 did not work when the "-fix" option was used.
2016-10-08Unfortunately the distfile has changed - with docs rebuilt - so we must ↵adam2-6/+9
change as well
2016-10-07Updated devel/p5-glib2 to 1.323mef1-1/+2
2016-10-07Updated devel/p5-glib to 1.323mef2-7/+7
------------------------------ Overview of changes in Glib 1.323 (stable) ============================================ * Fix typos (Bugzilla #760065) * Docs: add missing blurbs for the benefit of 'whatis' (Bugzilla #753466)
2016-10-07Updated meta-pkgs/boost to 1.62.0adam1-1/+8
2016-10-07One last piece of boost updateadam1-3/+3
2016-10-07Revbump post boost updateadam611-1134/+1222
2016-10-07Changes 1.62.0:adam12-227/+390
New Libraries ------------- Fiber: * Framework for userland-threads/fibers, from Oliver Kowalke. QVM: * Boost QVM is a generic library for working with quaternions, vectors and matrices of static size with the emphasis on 2, 3 and 4-dimensional operations needed in graphics, video games and simulation applications, from Emil Dotchevski. Updated Libraries ----------------- Atomic: * Improved support for Oracle Studio and SPARC. The library now provides native atomic operations on SPARCv8+. Chrono: * 11330 boost::chrono::duration default constructor doesn't initialize rep_ * 11618 Chrono IO V2 doc ios_state.hpp does not exist * 11631 boost chrono io v2 does not let you support custom clocks Circular Buffer: * Debug implementation is no longer used by default in debug mode. Debug implementation does not have the same thread safety guarantees as the normal (release) implementation or other containers. As a result of this change BOOST_CB_DISABLE_DEBUG macro is no longer used. BOOST_CB_ENABLE_DEBUG=1 should be defined instead to enable debug support. Container: * Fixed bugs: Trac 9481: "Minor comment typo in Boost.Container". Trac 9689: "Add piecewise_construct to boost::container". Trac 11170: "Doc slip for index_of". Trac 11802: "Incorrect ordering after using insert() with ordered_range_t on a flat_multiset with a non-default sort order". Trac 12117: "flat_set constructor with ordered_unique_range". Trac 12177: "vector::priv_merge uses unqualified uintptr_t". Trac 12183: "GCC 6.1 thinks boost::container::string violates strict aliasing". Trac 12256: "set<std::pair<int,int>>::insert cause compilation error in debug configuration in Visual Studio 2012". Trac 12273: "static_vector max_size() and capacity() should be constant expressions". Added constant static_vector<>::static_capacity to use the configured capacity in constant expressions. Trac 12286: "PMR flat_map from Boost Container does not compile". Trac 12296: "{deque,string} combine for a memory leak". Trac 12319: "flat_set should be nothrow move constructible". * Revised noexcept expressions of default and move constructors in all containers. * Implemented C++17 insert_or_assign/try_emplace for map and flat_map. * Implemented C++17 extract/insert(node) for map, multimap, set, multiset. Context: * 12215 all stack corrupted on Windows using default fixedsize_stack * 12242 build issue with Intel C++ compiler for MacOS * fix stack unwinding for execution_context_v1 Coroutine: * deprecated in favour of Coroutine2 Coroutine2: * 12221 coroutine<>::push_type function starts without pushing * do not swallow exceptions thrown at coroutine<>::push_type construction DLL: * Mangled symbols and classes loading was implemented by Klemens Morgenstern * Suppress a 'unused parameter' warning in detail::aggressive_ptr_cast pull-request 9 * Allowed to query non-existant sections pull-request 32 * More tests and docs Functional/Forward: * Fix C++11 compile error. Interprocess: * Fixed bug GitHub Pull 27 ("Fix undefined behavior"). Intrusive: * Fixed bugs: Boost Trac 11476: has_member_function_callable_with.hpp is massively broken with BOOST_NO_CXX11_DECLTYPE Boost Trac 11994: Support intrusive container key extractors that return the key by value Boost Trac 12184: clang -Wdocumentation warning Boost Trac 12190: Intrusive List + Flat Map combination crashes Boost Trac 12229: intrusive::unordered_set<T>::rehash() broken Boost Trac 12245: bstree uses a shared static size_traits for constant_time_size<false> Lexical Cast: * Fix incorrect static assertion 11759 Log: * New features: Added new tools for inter-process logging on a local machine. The implementation includes a resource name wrapper, an inter-process message queue and a sink backend. Added a new character decorator called max_size_decor. The new decorator allows to limit the output of its adopted formatter up to the specified length. * Bug fixes: Fixed that logging streams could retain formatting settings across different log records. (12178) See changelog for more details. Math: * New Features: Enabled all the special function code to work correctly with types whose precision can change at runtime: for example type mpfr_float from Boost.Multiprecision. * Patches: Fix tgamma_delta_ratio for cases where the delta is small compared to the base. Fix misc GCC-4.4 test failures. Optional: * Fixed Trac 12179. Phoenix: * Fix compilation for MSVC 10. pull-request 18 * Remove unused preprocessed files. pull-request 28 * Fix erroneous doc for switch_ statement. 8156 * Cleanup config unordered. pull-request 33 * Suppress unused placeholder warnings. * Fix too few arguments error on binary math functions. Regex: * Fix buffer over-run error when parsing certain invalid regexes, see 12222. * Fix detection of ICU in library build, see 12152. * Fix bug in case sensitivity change, see 11940. * Allow types wider than int in \x{} expressions (for char32_t etc), see 11988. Test: * Boost.test v3.3 see the Change log section for more details. * New features dataset driven test-cases have now a unique name and all sample tests of a dataset live inside the same test suite Boost.test learned a new command line switch, --logger for setting a test logger as well as its parameter in one command Boost.test learned to have several loggers at the same time, each of which having their own log level and output stream Boost.test learned a new logger, JUNIT, that is able to output Junit/xUnit compatible streams It is now possible to specify several test filters through the environment variable BOOST_TEST_RUN_FILTERS * Bug fixes Trac tickets 8707, 8834, 11128, 11845, 11859, 12024, Trac tickets 12093, 12103, 12224, 12241, 12257, 12378 Thread: * 12102 condition_variable_fwd.hpp fails to compile when BOOST_THREAD_PROVIDES_INTERRUPTIONS is disabled * 12120 Performance improvement in thread/barrier.hpp * 12146 make_exceptional_future is not mentioned in the docs * 12202 shared_lock should be in shared_mutex header * 12371 boost thread/future.hpp fails to build * 88 fix typos in boost::upgrade_lock * 89 fix a bug in upgrade_to_unique_lock<>::operator=() * 90 fix a bug in try_lock_wrapper<>::operator=() * 91 Add shared_lock_guard to the included lock types * 92 Fixed compilation with MSVC-8. * 93 Fix variable shadowing warnings (Clang) * 94 fix bugs in boost::barrier * 95 fix a mistake in boost::completion_latch * 96 rename async_func.hpp to invoker.hpp. * 97 fix a mistake in sync_timed_queue<>::pull_until() TypeIndex: * ctti_type_index was made constexpr in C++14. Now it is possible to retrieve actual name of the type as const char* at compile time. So we can do a lot of interesting things at compile time: check namespace of a type, sort types according to their lexical representation, check that type is a template type and so on... A few examples were provided. * Fixed issue with noexcept on MSVC in type names and issue with space in type name (pulled from klemens-morgenstern/develop) * Fixed typos pull-request 7 * Improved CI testing Unordered: * Remove use of deprecated boost::iterator. * Fixed an exception safety issue in assignment of unordered_multiset and unordered_multimap. * See the changelog for more details. Variant: * Fixed variant construction from classes derived from variant 7120, 10278, 12155 * Variant constructors and assignment operators now do not participate in overload resolutions if variant can not hold the input type 5871, 11602 * Fixed the issue with implicit conversion operator in C++11 8555 * Fixed comparisons when variant holds non-const reference 11751 * Fixed noexcept for variant's move-assignment 11696 * Fixed double quotes in includes 12057 * Dropped BOOST_VARIANT_NO_REFERENCE_SUPPORT macro and dropped support for compilers without SFINAE 12250 * Suppress implicit instantiation of MPL end iterator * Multiple minor fixes (typos, missing includes)
2016-10-07Adjust comment to reflect reality.joerg1-3/+2
2016-10-07Use sanitized _USE_CWRAPPERS.joerg2-4/+4
2016-10-07Import dhcpcd-6.11.4 with the following changes:roy2-7/+7
* Fixed octal and hex string parsing in options. * Ignore bogus RTM_DELADDR on FreeBSD when the interface goes down. * Several statically sized buffers have been removed and replaced with dynamically sized ones where we have no real idea of what the size will be. * Reverse IPv4 route removal order. * Improved handling of Netlink messages on Linux. * Poll for tentative link-local addresses if needed. * Added --small configure directive to reduce binary size * Allow DHCPv6, IPv4lL and authentication to be compiled out * dhcpcd requries the interface to be up when considering link status * Add support for ifa_addrflags in getifaddrs(3) * Add support for ifam_addrflags and ifam_pid from route(4) * If T1 or T2 are not set in DHCPv6 messages, use a default from the lowest pltime instead of the expiration time. * Validate lease before moving to REQUEST when both ends use rapid commit. * If lease validation fails, don't restart the DISCOVER phase if we're already in it.
2016-10-07Updated time/khal to 0.8.4wiz1-1/+2
2016-10-07Updated khal to 0.8.4.wiz2-7/+7
0.8.4 ===== released 2016-10-06 * **IMPORTANT BUGFIX** fixed a bug that lead to imported events being erroneously shifted if they had a timezone identifier that wasn't an Olson database identifier. All users are advised to upgrade as soon as possible. To see if you are affected by this and how to resolve any issues, please see the release announcement (khal/doc/source/news/khal084.rst or http://lostpackets.de/khal/news/khal084.html). Thanks to Wayne Werner for finding and reporting this bug.