summaryrefslogtreecommitdiff
path: root/cad/gtkwave
AgeCommit message (Collapse)AuthorFilesLines
2020-03-10librsvg: update bl3.mk to remove libcroco in rust casewiz1-2/+2
recursive bump for the dependency change
2020-03-08*: recursive bump for libffiwiz1-1/+2
2020-02-15(cad/gtkwave) Updated to 3.3.104mef2-7/+7
3.3.104 24jan20 Added support for loading .vf files (provided FSDB reader libraries are enabled). Added support for dumping variable types in vcd saveer, not just using "wire" for non-reals/strings. Fix for uninitialized values at time 0 for FST, FSDB loaders.
2020-01-26all: migrate some SourceForge homepage URLs back from https to httprillig1-2/+2
https://mail-index.netbsd.org/pkgsrc-changes/2020/01/18/msg205146.html In the above commit, the homepage URLs were migrated from http to https, assuming that SourceForge would use the same host names for both http and https connections. This assumption was wrong. Their documentation at https://sourceforge.net/p/forge/documentation/Custom%20VHOSTs/ states that the https URLs use the domain sourceforge.io instead. To make the homepages from the above commit reachable again, pkglint has been extended to check for reachable homepages. This check is only enabled when the --network command line option is given. Each of the homepages that referred to https://$project.sourceforge.net before was migrated to https://$project.sourceforge.io (27), and if that was not reachable, to the fallback URL http://$project.sourceforge.net (163).
2020-01-18all: migrate several HOMEPAGEs to httpsrillig1-2/+2
pkglint --only "https instead of http" -r -F With manual adjustments afterwards since pkglint 19.4.4 fixed a few indentations in unrelated lines. This mainly affects projects hosted at SourceForce, as well as freedesktop.org, CTAN and GNU.
2019-11-16(cad/gtkwave) Updated to 3.3.103mef2-7/+7
3.3.103 03nov19 Fix MAP_FAILED missing for MinGW. Fix to make the coloration red on 'u' traces. (Bug from Dinotrace-like rendering in 3.3.96.) Typo fix on missing group start on vectors.
2019-11-10(cad/gtkwave) Updated to 3.3.102mef2-7/+7
3.3.102 21sep19 Remove redundant TREE_VHDL_ST_PACKAGE from SST exclude. Added addCommentTracesFromList tcl command from user patch. Harden savefile loader for missing group start on vectors. Preliminary VHDL support for wlf2vcd. Add missing return value checks on mmap() in FST writer.
2019-10-17(cad/gtkwave) Updated 3.3.100 to 3.3.101mef2-8/+7
3.3.101 08may19 Added gtkwave::getFacDir, gtkwave::getFacVtype, and gtkwave::getFacDtype Tcl accessor functions that function similar to gtkwave::getFacName. Pair $end with $dumpvars in VCD writers. Make %.16g printing in baseconvert.c more resistant to power of 10 roundoff errors. Remove register keyword where applicable as is deprecated. Added --saveonexit gtkwave command line option.
2019-07-21*: recursive bump for gdk-pixbuf2-2.38.1wiz1-1/+2
2019-06-08cad/*: let pkglint fix indentation, variable alignment, and LOCALBASErillig1-3/+3
pkglint -Wall -r -F cad Manually fixed the indentation in cad/ghdl/Makefile because SITES.* was (by the current definition) not long enough to count as an outlier line, and because of this, all other lines were aligned to that line.
2019-04-13Updated cad/gtkwave to 3.3.100mef2-7/+7
3.3.100 20mar19 FSDB fix for variable declarations of array of reals. Added Real, Time, Enum, and Popcnt flags to Edit/Show-Change. Ensure Show-Change regenerates analog traces. Added braces inside Tcl source command to allow spaces in filenames for Tcl scripts.
2019-02-23Updated cad/gtkwave to 3.3.99mef2-7/+7
3.3.99 09feb19 Added visible single bit glitches as a yellow dot (if enabled with --rcvar 'vcd_preserve_glitches on'). Fixed print routine broken by bsearch_trunc() optimization in version 3.3.96.
2019-01-05Updated cad/gtkwave to 3.3.98mef3-10/+8
3.3.98 27nov18 Removed pccts and vermin. Use xml2stems instead.
2018-12-08Updated cad/gtkwave to 3.3.97mef2-8/+7
3.3.94 03sep18 Applied ghwlib.c patch for dealing with null ranges. Added second chance algorithm for find_dumpfile() in case it fails. 3.3.95 07oct18 Added fflush on stdout for help text as fix for possible stdout problem with mingw/msys shells. Added preliminary support for Time datatype. Warnings fixes for Verilator integration. Fixed install_proc_filter usage for Tcl invocation. Change integer type to "integer" in SST to differentiate it from sv ints. Premiminary support for enum tables embedded in FST files. 3.3.96 15nov18 Changed to standardized zoom in/out/full hotkeys. Added time backtracking warning (for partial mode) to lxt2vcd. VCD time backtracking fix (not for interactive mode). Added drag_failed handling (can press ESC) to DnD operations. Prevent missing file in savefile from causing savefile to be read as VCD by mistake. Changed to Dinotrace-like 0s/1s rendering for bit vectors so values can be discerned without seeing the full value text. Removed unneeded pango_layout_get_extents() inside call for font_engine_draw_string(). Changed bsearch_trunc() to run in constant time when monospace fonts are in use. Added missing GDK_SCROLL_MASK to signal area (need for gtk3, but not for other versions for some reason). 3.3.97 23nov18 Need to set menu_wlist entry NULL on gtk_widget_destroy(). Fix on vtype()/vtype2() to detect 'x' and make the coloration red on newly-displayed traces. (Bug new from Dinotrace-like rendering in 3.3.96.)
2018-11-14Revbump after cairo 1.16.0 update.kleink1-2/+2
2018-11-12Recursive revbump from hardbuzz-2.1.1ryoon1-1/+2
2018-08-18Updated cad/gtkwave to 3.3.93mef3-8/+10
3.3.92 05jul18 Harden FST loader for missing .hier files (if applicable). Fixed broken GTK+-1.2 compile of twinwave. Fix scrolling on help window by adding scroll to end mark. Fix scrolling on status window when use_toolbutton_interface rc var is set to FALSE by adding scroll to end mark. Updated BUILT_SOURCES for vermin. extern yy_size_t yyleng fix in rtlbrowse. 3.3.93 03aug18 Added sst_dbl_action_type rc variable which controls side- effect of double-clicking in SST signals pane. Added xml2stems Verilator XML to rtlbrowse stems converter to distribution. Eventually vermin will be removed. Added missing realpath() in udp emission in vermin.
2018-07-07Updated cad/gtkwave from 3.3.89 to 3.3.91mef2-7/+7
3.3.90 08may18 For Cut Traces, fix up scroll position if there are traces above the current row being cut. Bits to real crash fix for very large floats. Fixed gray code conversions that were incomplete for right justified vectors such that the vector length is not a multiple of the radix size (4 for hex, 3 for oct). Warray-bounds warning fix for 32-bit conversions in BitsToReal. 3.3.91 29may18 Added support for GSettings for when GConf is removed from distributions such as Debian and Ubuntu. Performance fix for large number of groups (remove useless recursion required for transaction traces).
2018-04-17cad/gtkwave updated to 3.3.89mef2-8/+7
3.3.89 17mar18 Added support for 32-bit conversions in BitsToReal. Crash fix for pattern search with reals using LXT, LXT2, VZT.
2018-04-16Recursive bump for new fribidi dependency in pango.wiz1-2/+2
2018-03-12Recursive bumps for fontconfig and libzip dependency changes.wiz1-1/+2
2018-03-08Updated cad/gtkwave to 3.3.88mef3-8/+9
3.3.88 20feb18 Added --sstexclude command line option to prune unwanted clutter from the SST window. Updated "/View/Mouseover Copies To Clipboard" menu option to copying signal names into the clipboard so they can be pasted into text editors, etc. Fixed Write Save File to handle getting confused by initial cancel then retry. Updated v2k input/output declarations to handle unpacked arrays. Fix for pattern marks that could overshoot the left marker.
2018-01-11Updated cad/gtkwave to 3.3.87mef2-7/+7
3.3.87 28dec17 Added missing prototype for ghw_read_sm_hdr in ghwlib.h. Made intptr_t changes vs long during casting for win64. Warnings fixes. Re-enable twinwave for Win32/64. Added missing gtkwave_bin_launcher.sh in contrib/bundle_for_osx Makefile.am.
2017-10-22Updated cad/gtkwave to 3.3.86mef2-7/+7
3.3.86 03oct17 Added recurse import function (found before only in the hier search) into the SST. Removed obsolete bundle functionality from SST as recurse import more accurately imports recursively. Made entrybox taller (using -1) as recent versions of gnome have taller window titlebars and the widget was not tall enough.
2017-09-30Updated cad/gtkwave to 3.3.85mef2-7/+7
3.3.85 06sep17 Fix integer type in GHW loader so integer value changes are not stored as a string. This then allows bitwise manipulations of integers.
2017-09-16Updated cad/gtkwave to 3.3.84mef2-7/+7
3.3.84 03sep17 Updated FSDB reader with experimental FST tree build routines for faster init. Removed warnings found when compiling with -Wshadow. Automatically enable --comphier for FST/FSDB/AE2 if facility count reaches 500000. This is to reduce memory consumption for traces with very many signals. Added disable_auto_comphier to override this behavior. Fix null pointer sent to gtk_clipboard_set_text() for mouseover to clipboard cut ops.
2017-08-13Updated cad/gtkwave to 3.3.83mef2-7/+7
----------------------------- 3.3.83 04aug17 Preserve search type for regex search across reloads or close/reopens of regex search widget. Update local libz to current version.
2017-08-05Updated cad/gtkwave to 3.3.82mef2-7/+7
----------------------------- 3.3.82 02jul17 Get sys_fst working with VCS VPI. Added string concatenations for vectors. Added asserts to ghwlib.c to make scan-view clean.
2017-06-14Udated cad/gtkwave to 3.3.81mef2-7/+7
---------------------------- 3.3.81 09jun17 Added max_fsdb_trees environment variable. Fixed -C option so it is persistent across new tabs. Integrated updated GHW reader code.
2017-04-09Updated cad/gtkwave to 3.3.80mef2-8/+7
----------------------------- 3.3.80 17mar17 Added "/View/Mouseover Copies To Clipboard" menu option to allow copying values into the clipboard so they can be pasted into text editors, etc.
2017-02-12Recursive revbump from fonts/harfbuzzryoon1-2/+2
2017-02-06Recursive bump for harfbuzz's new graphite2 dependency.wiz1-1/+2
2017-01-01Updated cad/gtkwave to 3.3.79mef2-7/+7
----------------------------- 3.3.79 31dec16 Disable accelerator keys in twinwave single window mode to avoid focus conflicts. Fixes for -fstrict-aliasing and other recent warnings. Added fill_waveform rc variable and corresponding menu option (/View/Show Filled High Values) to allow filling in the lower portion of high values for increased visibility.
2016-12-10Updated cad/gtkwave to 3.3.78mef2-7/+7
----------------------------- 3.3.78 26oct16 Fixed crash when using multiple pattern searches.
2016-10-21distinfo was wrong or distfile updated with the same name ?mef1-5/+5
2016-10-17Updated cad/gtkwave to 3.3.77mef2-7/+7
----------------------------- 3.3.77 03oct16 Updated documentation to include an appendix on FST implementation details. Removed '!A || (A && B)' is equivalent to '!A || B' redundant condition checks where found in source. Added hier_ignore_escapes rc variable. Dynamic resizing tweaks for when it is turned off. Added HUWL-? value types to signal_change_list() to keep GHW files from crashing Tcl scripts.
2016-09-01Updated cad/gtkwave 3.3.74 to 3.3.76mef2-8/+7
------------------------------------ 3.3.75 02aug16 Fix crash when -S and -W are used in tandem. 3.3.76 13aug16 Fix for --disable-tcl in ./configure caused by 3.3.75 fix. Crash fix in fstapi.c on read value at time accessing of FST files that use new dynamic aliases, FastLZ, or LZ4. This primarily affects rtlbrowse.
2016-08-03Revbump after graphics/gd updateadam1-1/+2
2016-08-01Updated cad/gtkwave to 3.3.74mef2-7/+7
----------------------------- 3.3.74 27jul16 Fix for when a signal name is used as a hierarchy name at the same level of scope. (Affects fsdb.) Added --rcvar command line option to insert rc variable changes individually without needing to point to a configuration file. Change to combine traces down/up routines to handle 2D vector name generation. Allow FSDB files to contain ".gz" and ".bz2" suffixes as the libnffr loader can handle those. If a variable is declared in the dumpfile as an integer, then it is imported to the waveform display as an integer instead of a hex value. This works for dump file formats that show the datatype in the SST window. Added code that should prevent the primary marker from disappearing unexpectedly as well as dynamic resizing being stuck in the unset marker width.
2016-06-13(pkgsrc)mef2-7/+8
- Add following line, pkglint flags. .include "../../graphics/hicolor-icon-theme/buildlink3.mk" (upstream) - Updated cad/gtkwave to 3.3.73 ------------------------------- 3.3.73 11jun16 Added dragzoom_threshold rc variable to accommodate input devices that have a noisy 3rd mouse button. Fix emission of all filter names so they are emitted in canonical fashion so as to avoid growing strings of ../ in savefiles.
2016-04-16Missing gtkwave.svg in PLIST added, thanks joerg.mef1-1/+2
2016-04-14Update to cad/gtkwave-3.3.72mef2-7/+7
---------------------------- 3.3.72 Revert to old gtkwave.appdata.xml as the new one is causing problems with appstream-util validation.
2016-02-203.3.69 03feb16 Added missing EXTLOAD_CFLAGS declarations in configure.ac formef2-7/+7
FSDB detection when only .a files are present (necessary for Ubuntu). Fixed valgrind warning in fst.c for dead memory allocation. Fixed signed fixed point binary number shift for negative Update 3.3.68 to 3.3.70 ----------------------- 3.3.69 03feb16 Added missing EXTLOAD_CFLAGS declarations in configure.ac for FSDB detection when only .a files are present (necessary for Ubuntu). Fixed valgrind warning in fst.c for dead memory allocation. Fixed signed fixed point binary number shift for negative numbers. Added ghw patch for missing enum crash in ghw files. 3.3.70 14feb16 Various warnings fixes from new version of scan-build. Crash fix in Windows for transaction traces (broken since VCD/TIM export in 3.3.61).
2015-11-21Update to 3.3.68 (2015/11/18)mef2-7/+7
---------------- 3.3.68 18nov15 Update copyright date. Added named markers capability to From: and To: time value input boxes. Added support for fixed point binary numbers for both signed and unsigned decimal display types.
2015-11-03Add SHA512 digests for distfiles for cad categoryagc1-1/+2
Problems found with existing distfile for eagle: distfiles/eagle-lin32-7.4.0.run No changes made to eagle/distinfo file. Otherwise, existing SHA1 digests verified and found to be the same on the machine holding the existing distfiles (morden). All existing SHA1 digests retained for now as an audit trail.
2015-10-01Update to 3.3.67mef2-6/+6
---------------- 3.3.67 24sep15 Updated LZ4 for version r131. Fixed right justify ascii datatype display.
2015-08-01Update to 3.3.66mef2-7/+6
---------------- 3.3.66 05jul15 Faster fsdb initialization. Fix vcd recoder loader crash for malformed vcd if signal is declared as bits and a real valued change is encountered for the value change. Fixed crash in vcd2vzt for vcd files with no value changes (likely a malformed vcd). Added fsdbReaderResetSignalList() to prevent signals from loading over and over when unnecessary. Compile fixes for renamed functions and defines in gtk osx.
2015-04-25Recursive revbump following MesaLib update, categories a through f.tnn1-1/+2
2015-04-17Update 3.3.64 to 3.3.65mef2-6/+6
----------------------- 3.3.65 01apr15 Added --, -I-, etc. option to port filtering in SST. Using -- for example filters all non-ports from search results. Updated LZ4 for version r126. Minor warnings fixes. Moved TCL_LDADD/TK_LDADD before FSDB_LDADD to avoid stale Tcl library version conflicts. Removed appending [31:0] to vcd loaded integer names. Reduced recursion depth in GHW signal loader to prevent stack overflow crashes. Added support for synthetic clocks in FST file. Update timetrace marking so it runs quicker for large traces.
2014-12-02Update 3.3.63 to 3.3.64mef2-6/+6
3.3.64 25nov14 Fix to FileChooser to prevent requester from blocking on asking for a directory if a dumpfile is loaded without some amount of absolute/relative pathname. Updated LZ4 for version r124. Fix for x-windows OSX compiles.