summaryrefslogtreecommitdiff
path: root/cad/verilog/distinfo
AgeCommit message (Collapse)AuthorFilesLines
2011-04-13update to 0.9.4drochner1-7/+6
changes: -Language Coverage: -Add support for using the &&, || and ! operators with real constant values -Add support for passing -0.0 from the compiler to the run time -Add support for parsing pull devices that have two strengths specified -Allow multiple attribute instances -bugfixes pkgsrc change: clean up DESTDIR support
2010-02-01DESTDIR supportjoerg1-2/+3
2009-10-08gcc44 fixesdmcmahill1-1/+2
2009-03-11update to verilog-0.8.7, the latest in the stable 0.8 series.dmcmahill1-5/+4
Release Notes for Icarus Verilog 0.8.7 none (but see below for other releases since the last version in pkgsrc) Release Notes for Icarus Verilog 0.8.6 This is a bug fix update of the 0.8 stable version of Icarus Verilog. The v0.8 series tries to remain as stable as possible while still fixing bugs that are safe to fix. Preprocessor: * Fix parse/preprocess of C-style comments in surpressed ifdef blocks. * Support leading underscore in preprocessor names. Compilation/elaboration issues: * Support min:typ:max expressions in more places. * Fix handling of @* non-input nets. * Do not support system functions in continuous assignments. * Do not support converting vectors to real. * Do not support constant real valued expressions. Run-time ussues: * Fix comparison of negative numbers that happen to be equal. * Fix bad execution of certain expressions caused by code generator bad lookaside handling. * Proper error message for invalid bit selects. * Implement $printtimescale system task. Compiler build issues: * Compile OK evel if libbzip2 is not installed, but do not support LXT2 in that case. Release Notes for Icarus Verilog 0.8.5 This is mostly a bug-fix release for the 0.8 stable branch. * Fix assertions from unary operators with certain operand widths. * Fix incorrect comparison results when in certain cases comparing two signed negative integers. * Latch synthesis has been added to the core synthesizer * Add nand gate support to the edif code generator * Minor compile time errors/warnings * Improved messages from the configure script Release Notes for Icarus Verilog 0.8.4 This is a bug-fix release for the 0.8 stable branch. The 0.8 stable branch updates do not include significant new features (they go into the devel branch instead) nor fixes that are deemed to drastic to include in a stable tool. - Various source code portability problems have been fixed. The 0.8 no longer compiles on many modern systems. - Various bug reports have been put to rest with this release. Some parser errors have been fixed (including a few regressions from 0.8.3) and a few new syntaxes added. - A variety of systhesis bug fixes and enhancements are included in 0.8.4. Currently, synthesis is only actively supported in the 0.8 branch, and the 0.8.4 is the most complete.
2007-08-05Don't use malloc.h.joerg1-1/+2
2006-10-04update to verilog-0.8.3dmcmahill1-5/+5
** Release Notes for Icarus Verilog 0.8.3 This is a new release of the stable 0.8 branch. The changes from 0.8.2 are intended to be evolutionary, rather then revolutionary, to enhance the stability of the branch. Various simulator bugs have been fixed, including (but not limited to): - Detect overrun of timescale vs. precision - Handle more operators in constant expressions - Various ivl crashes and panics fixed. - Some performance bottlenecks have been fixed. - Various tool compilation problems have been fixed. Also, the internal synthesizer (for synthesis targets) has been considerably improved. NOTE that the code generators have not been improved to take advantage of all the changes here, so there is work yet to be done. The mingw build process for compiling in Windows has been reworked. It is now possible (indeed preferable) to compile fully native Icarus Verilog binaries on Windows with no Cygwin tools at all.
2006-05-06update to verilog-0.8.2. Adds edif output, contains several bug fixes fordmcmahill1-4/+4
compatibility with more c++ compilers.
2005-03-02update to verilog-0.8.1. This is a minor bug fix releasedmcmahill1-4/+4
2005-02-23Add RMD160 digests in addition to SHA1 ones.agc1-1/+2
2004-10-14update to verilog-0.8.dmcmahill1-6/+4
The current release is a considerable improvement over the previous stable release. It includes 20 months of fixes and language coverage improvements. For a complete history of changes, see the release notes for individual snapshots between the 0.7 and 0.8 releases found at ftp://ftp.icarus.com/pub/eda/verilog/snapshots/pre-0.8 A brief list of highlights: - Support for advanced standard data types such as real, - Lots more language support in general, - Kernel of an extensible, interactive debugger is new, - More complete support for user supplied system functions and tasks, including PLI system functions with various return value types, - Better standards compliance for core system tasks and functions in general, including some Verilog 2001 file I/O support, and - Performance improvements in general.
2004-01-02Fix build with gcc3.jmmv1-1/+3
2002-12-15update to verilog-0.7dmcmahill1-3/+3
This release represents many bug fixes, expanded language coverage, greatly enhanced xilinx fpga synthesis and several performance enhancements. The complete list is rather long.
2002-02-08update to verilog-0.6dmcmahill1-3/+3
WHAT'S NEW SINCE 0.5? Quite a lot. Innumerable bugs have been fixed, and standards coverage has been improved significantly. Warning and error messages have been improved, and so has compile performance. Gate delays, strength modeling, and floating point delays have all improved since the 0.5 release. If you had trouble with the 0.5 release, the 0.6 release probably fixes your problem. Support for large designs spanning multiple files has been improved dramatically. The usual preprocessor inclusion method still works, but The 0.6 release adds command files for keeping source file lists, and automatic library searches for missing modules. The library mechinisms are compatible with commercial tools, and commercial module libraries can be used with Icarus Verilog. Many compiler limitations related to the size and complexity of large designs have been relaxed or eliminated. There are no known design size limitations remaining in the compiler. Icarus Verilog should be able to handle any design that you have the patience to compile.
2001-08-04update to verilog-0.5dmcmahill1-8/+4
* The Big Change: VVP Past versions of Icarus Verilog performed simulation by compiling the Verilog design to intermediate C++ code, then in turn compiling that C++ (usually with G++) to a binary executable. This program was then executed to actually run the simulation. The 0.5 compiler, however, uses a custom internal language called "vvp." The vvp code generator writes a program in the vvp language that the vvp interpreter executes. This gets runtime performance similar to the older vvm method, but compile times are much faster. The result of this change is that there is a new program, ``vvp'', that is installed with the existing ``iverilog'' compiler. This program actually executes the simulation generated by the vvp code generator. There are manual pages for the iverilog command and the new vvp command, as well as a QUICK_START document to help you run your first simulation. * What Else Is New The compiler itself is now a lot more robust. While it still does not compile and understand the entire IEEE1364 standard, the compiler is less likely to crash on bad input, gives better error messages, and has generally been cleaned up.
2001-04-19Move to sha1 digests, and add distfile sizes.agc1-2/+3
2001-04-17+ move the distfile digest/checksum value from files/md5 to distinfoagc1-0/+8
+ move the patch digest/checksum values from files/patch-sum to distinfo