summaryrefslogtreecommitdiff
path: root/cad
AgeCommit message (Collapse)AuthorFilesLines
2005-03-21simian, meet gcc3 (fix c++ code to work with gcc3)dmcmahill9-26/+197
2005-03-21add RMD160 checksumdmcmahill1-1/+2
2005-03-20Add a missing dirrm line. Closes PR pkg/29649 by Cesar Catrian C.jmmv1-1/+2
2005-03-19use GNU tar to extract. pax fails on the distfile. Lets this pkgdmcmahill1-1/+4
extract and build again after being broken for a long time.
2005-03-18fix some conditionals and variable types. Kills a few warnings on NetBSD ↵dmcmahill2-1/+26
and runs now on solaris
2005-03-10No need to set QMAKE here anymore. qt3-tools does that now.dmcmahill1-4/+1
2005-03-02update to verilog-0.8.1. This is a minor bug fix releasedmcmahill2-6/+6
2005-03-02add a missing headerdmcmahill2-1/+14
2005-03-01update to gerbv-1.01. Condensed change log:dmcmahill2-7/+6
* src/draw_amacro.c: Forgot to copy gc to local_gc so drawing inherits gc from image wide setting. Pointed out by Warren Young. * src/gerbv.c: malloc strlen+2, not +1 * src/gerb_file.c: malloc len+1, not len * src/draw.c: When drawing circles I ignored if circle had inner diameter, which was pointed out by Harry Eaton. Not anymore... Closes bug #1050340 in this branch. * src/draw_amacro.c: Forgot to use local_gc when drawing some of the primitive aperture macros. Closes bug #1051045. * src/gerbv.c: If you tried to invert color of an unloaded layer you got a segmentation fault. I think it was discovered by Juergen. * configure.in, src/Makefile.am: Use libpng-config to find out parameters to use in CFLAGS and LIBS. * src/gerbv.c: Made sure that only list of short options is printed when only short options are compiled in. * doc/*, example/*: Makefiles.am removed Makefile.in wheh running distclean. Not good. Spotted by Hamish Moffatt when packaging for Debian. * src/draw.c: In gerbers the image can be negative or positive. Then a part of the image called a layer can be clear or dark. -"No more weed for you, Mr Gerber". Richard Lightman found this and submitted both an example and a patch. Thanks! * src/draw_amacro.c: When drawing thermals the cross ends in butt instead of round which gives nicer look when thermal covers an other via. * src/gerber.c: Improved comments on what is going and why. Also improved a little in determining the size of the image. Now it ignores points with no aperture defined, unless it is a polygon area. * src/gerber.c: Removed two potential segfaults when running old RS-274D format. * src/gerber.c, src/batch.c, src/gerb_image.[hc]: Actually removed all traces of MQ_END and MQ_START. That means that empty nodes with only this information isn't saved, since the only time we need this information is when parsing arcs. When an arc is parsed the information wheter that arc is multi or single quadrant is saved there. Also closes bug #942590. * src/gerbv.c, src/gerbv_screen.h, src/gerb_image.c, src/gerb_image.h: Added possibility to dump a parsed image as text to be able to debug the parser. * src/draw_amacro.c: By mistake I assumed that all aperture macros had exposure flags, but that was a mistake. Drew Moore pointed it out to me. Thanks. * */.cvsignore, man/gerbv.1.in, src/gerbv.c: Minor updates and cleanups from Dimitri. --help added for instance.
2005-03-01fix fallout from bison-2.0 updatedmcmahill4-2/+74
2005-02-23Add RMD160 digests in addition to SHA1 ones.agc52-53/+106
2005-02-23update to dinotrace-9.2admcmahill2-6/+6
* Dinotrace 9.2a 12/20/2004 ** NEWS file is now renamed Changes. ** Added signal note and cursor note menu items. [Shane Coffman] ** Searching for a non-vector signal will now return a vectored signal. For example a pattern "Foo" will find "Foo[1:0]." [Shane Coffman] **** Save cursor notes in Customize save files. [Thomas Dmukauskas] **** Fix compilation errors on GCC 3.4. [Jaroslaw Gorny] **** Fix segfault when using grid timerep without grid. [Scott Venier] * Dinotrace 9.1n 10/22/2004 **** Fix slow file reading with >128 bit __en signals. [Ta-Chung Chang] **** Fix signed analog display. [Uwe Bonnes] * Dinotrace 9.1m 04/21/2004 *** Fix duplicate and embeded __en cosmos signals. [Krishna Rangan] **** Fix buffer overrun bugs. [Samuel Ho]
2005-02-20Update to gnetman-20050211. Old distfile has vanished.dmcmahill2-6/+6
No changelog, but looking at the source code it seems that a new tcl command for dealing with diodes has been added and code to convert spice netnames to uppercase with checks for name collisions has been added.
2005-02-12update to gnetman-20041222dmcmahill29-2237/+49
The release incorporates many of the pkgsrc patches needed for the previous release. Other bug fixes, but no release notes.
2005-02-11update to vipec-3.2.0dmcmahill4-20/+33
ViPEC 3.2.0 ----------- - Migrated to Qt 3. ViPEC is no longer supported on the Windows platform. Please see the README file for details. - Added German translation by Jens Flucke. - Started working on improved tracing for debugging - Changed calculation of font sizes in output graphs
2005-02-03add one more malloc.h->stdlib.h patch from PR29206dmcmahill2-1/+13
2005-02-03use stdlib.h instead of malloc.h. Addresses PR29206 by John Bakerdmcmahill2-7/+12
which prevented compilation on MacOS X 10.2.8.
2005-01-28update to pcb-current-20050127dmcmahill4-21/+6
======================================================================== Release Notes for PCB snapshot 20050127 ======================================================================== - Fix drill size for PLCC sockets in ~geda library. Fix drill size for LED3 and LED5 footprints in ~geda library. - Move the right-click popup menu to pcb-menu.res. - Add DisperseElements() action for spreading out elements in an initial layout. - Fix fab drawing output for the case where a very small number of drill sizes are used. - Various documentation improvements. - Remove many compiler warnings. - Added metric output to the cursor display - Fix <Key>. for toggling 45 degree mode. - Crosshair snap snaps to center of pads and also to the mark of the element. - Protect all strcmp() calls with a check for a NULL pointer. Fixes various crashes. - Fixed the mode buttons which were unresponsive in some versions of the X libraries. - Element names may be edited over the element. - Added --enable-efence for ElectricFence debugging. Off by default - Added --disable-rpath to avoid using rpath for the X libraries. - Added vendor resource file support. This allows lets you specify a set of allowed drill sizes and drc rules for a particular vendor. - Added -action command line option to allow executing an action on startup. - Date and time of compilation added to About dialog. - Fix a bug where the soldermask relief on a new element is 100x smaller than the default when "convert buffer to element" is used. - Fix a name_tree corruption bug when changing an element name. - Don't remove the formatted manual with a 'make clean' since they are part of the distfile. - Let automake handle the html and pdf conversion. This ends up using texi2pdf for the pdf output which seems to do a better job than ps2pdf. - Sort actions by modifier so that both Ctrl<Key>x and <Key>x work. - Fix a SelectByName bug. - Fix non-gcc compilation - Command history added to : - Fixed pinout window crash - Allow Ctrl-drag to copy objects in arrow mode. - Fixed a polygon clearance bug - Fix an arc bounding box calculation error.
2005-01-23Build Python with thread support by default and turn the existingrecht1-2/+2
python*-pth packages into meta-packages which will install the non-pth packages. Bump PKGREVISIONs on the non-pth versions to propagate the thread change, but leave the *-pth versions untouched to not affect existing installations. Sync all PYTHON_VERSIONS_AFFECTED lines in package Makefiles.
2005-01-17Fix a C99ism to make this package build with gcc 2.95.kristerw2-1/+15
2005-01-13Made obsolete by update to 20041228, I presume (at leastwiz6-2246/+0
they were removed from the distinfo).
2005-01-12Nuke USE_FORTRAN and bring the f2c handling within the mk/compilerjlam1-2/+1
framework. The list of changes include: * Modify compiler.mk so that "c" is always prepended to USE_LANGUAGES, so we no longer need to say it in package Makefiles. Packages should now append to USE_LANGUAGES instead of setting it. * Create mk/compiler/f2c.mk which implements another pseudo-compiler "f2c" that may be used with any C compiler backend, e.g. PKGSRC_COMPILER= f2c ccache gcc * Teach the various "real" compiler files, e.g., sunpro.mk, mipspro.mk, etc., to use f2c if the native Fortran compiler isn't present. Packages that use Fortran should now simply include the line: USE_LANGUAGES+= fortran in the package Makefile.
2005-01-11remove readline support per pkg/28829. readline and spice licenses weredmcmahill4-11/+14
not compatible.
2005-01-11update to 20041228.dmcmahill45-152/+493
Release notes for the gEDA/gaf 20041228 snapshot Changes _________________________________________________________________ libgeda * Shared library version number now at 22. * Added a new attribute: symversion= and associated mechanism to libgeda. + The symversion= mechanism allows symbols to be versioned with a major and minor number. An example of this attribute is symversion=1.0 + This symversion= attribute is typically found in symbol files at first, but it will be promoted if it is found when the symbol is read in from disk. + The symversion= attribute is an auto-prompted attribute, which if present in a symbol will always be promoted to the schematic. + If the major number of the symversion= attributes (the one in the symbol and the one in the schematic) does not match, then a serious warning message is issued. + When a user changes a symbol file in a major or incompatible way (translation, addition/removal of pins/attributes etc...), the major number of the symversion= attribute value should be incremented by one. + For minor changes, primarily cosmetic changes, only the minor number of the symversion= attribute value should incremented by one. + If the minor number of the symversision= attributes (the one in the symbol and the one in the schematic) does not match, then a minor warning message is issued. + The default value is 0.0 even if no symversion= attribute is present. * Fixed the randomly colored midpoint postscript bug reported by Gabriel Paubert. Also removed some redundant code related to postscript printing. * Moved a whole bunch of rc routines from gschem/gnetlist/gsymcheck into libgeda. This makes using the common rc code much easier. * Added the --with-rcdir ./configure flag to libgeda. This was required because of the above rc code refactor. You must ./configure libgeda with this flag if you intend to move the rc files to a different directory. Be sure to put this flag on all programs (gschem/gnetlist/gsymcheck/gattrib etc...) if you want to move the rc files. * Part of the above rc code refactor was to add an additional rc file: gafrc. The gafrc file is read in last after all other rc files are read in. Only common rc keywords can be put inside the gafrc file, including: + component-library + component-library-search + source-library + source-library-search + world-size + reset-component-library + reset-source-library + default-series-name + untitled-name + scheme-directory + bitmap-directory + font-directory + bus-ripper-symname Now you do not have to create a gschemrc, gnetlistrc, gattribrc, gschlasrc etc... if you are just adding custom component libraries. Just create a gafrc file and put the library lines in there. * Added a bunch of init function to libgeda_init() to make using libgeda a little easier. gschem * Added a dialog box which pops up when a schematic is loaded up which has components which have changed in a major or minor way (based on the new symversion= attribute). See above the libgeda section for a description of the new symversion= attribute and its usage. * Hopefully finally fixed the broken postscript problem when the locale uses commas instead of periods. Original fix by Bill Wilson and patch by Andreas Fester. * Fixed a gschem crash when certain components (depending on what was connected to them) were being updated. I have also started working on fixing Edit/Component Update... to be more sane (and not cause duplicated attached attributes). This work is not complete, and for now, Edit/Component/Update... will still cause duplicate attributes. * Fixed some visual garbage/corruption in the preview window in the file selection and component place dialog boxes. * Added a new rc keyword "grid-dot-size" which lets you control the size of the grid dots. Handy if the dots are too small to see. * Applied the scroll wheel pan patch by Sascha Silbe. The scroll wheel pans the display vertically and holding down the control key and moving the wheel the will scroll the display horizontally. * Added a modal "Are you sure?" dialog box to page revert. This should prevent accidental page reverts (cause work losses). This dialog box is only implemented for gtk+ 2.x * Added an Italian translation by Petrecca Michele. * Added the comment and symversion attribute to the attribute pulldown list in the Add/Attribute dialog box. * Updated gschem with the newly refactored rc code. gnetlist * Stuart implemented a whole slew of improvements to the spice-sdb backend. * Stuart added several functions to passing the command line to scheme backends. * Removed gschem2pcb as an installed program. gsch2pcb is the preferred tool for going from schematic to PCB. * Applied a bugfix patch to the cascade backend by Dan McMahill. * Integrated the initial version of a SystemC backend by Jaume Masip. * Integrated a new scheme backend for Eagle PCB. The backend was written by Braddock Gaskill. * Updated gnetlist with the newly refactored rc code. gattrib * Integrated Stuart Brorson's attribute editor: gattrib into gEDA/gaf. This is the first gEDA/gaf release that includes gattrib. * Here's a description of gattrib: Gattrib is gEDA's attribute editor. It reads a set of gschem .sch files (schematic files), and creates a spreadsheet showing all components in rows, with the associated component attributes listed in the columns. It allows the user to add, modify, or delete component attributes outside of gschem, and then save the .sch files back out. When it is completed, it will allow the user to edit attributes attached to components, nets, and pins. (Currently, only component attribute editing is implemented; pin attributes are displayed only, and net attributes are TBD.) gsymcheck * Integrated a patch by Jim Duchek which adds several more useful tests to gsymcheck. * Updated gsymcheck with the newly refactored rc code. symbols * Redrew the following symbols and set the symversion= attribute to 1.0: 4000/4002-1.sym 4000/40107-1.sym 4000/4011-1.sym 4000/4012-1.sym 4000/4023-1.sym 4000/4025-1.sym 4000/4030-1.sym 4000/4068-1.sym 4000/4070-1.sym 4000/4072-1.sym 4000/4073-1.sym 4000/4075-1.sym 4000/4078-1.sym 4000/4081-1.sym 4000/4082-1.sym 4000/4085-1.sym: If you have any of these symbols in any existing schematics, you should get a warning that major changes have occurred when you run gschem or gnetlist. * Added over ~100 user contributed symbols to the various component libraries. Created 5 new component libraries: minicircuits st apex allegro irf * Moved the local component library to the beginning of the search order. * Updated Peter Kaiser's asic library with the latest set of symbol. Added Peter's asic pad symbols into the new asicpads library. Commented in the asic library now that the symbol names are unique. * Werner Hoch has fixed a whole slew of symbols in various component libraries (74, 4000, and memory). Thanks Werner! geda (gManager) * Piotr Miarecki added graphman, a new tool for managing graphs. * Piotr update gManager to build with gtk+ 2.2.x * Integrated a French and Italian translation. Not sure if the translations are work yet. utils * Added symbol cleanup (gsymfix.pl) script by Mike Skerritt. * Updated gschlas with the newly refactored rc code. docs * attributes and symbols documents: Added information and details on the symversion= attribute * toplevel doc page: Added links to SystemC and Eagle backend READMEs.
2005-01-05update to 0.4.1drochner3-42/+73
changes: * VCD output for waveform viewing - function additions - needs Python 2.3, 2.4 is OK * Conversion to Verilog to provide a path to implementation * Added cosimulation support for the cver Verilog simulator. - bugfixes
2004-12-28Bump PKGREVISIONs due to libtiff update.reed1-2/+2
Some BUILDLINK_RECOMMENDED bumps done also. (If I missed any, please let me know -- and let me know a good way to automate this.)
2004-12-14Add build dependency on pkgconfig.minskim1-2/+4
While here, rearrange paragraphs so that buildlink3.mk files are included before overriding targets.
2004-12-14Needs pkg-config.minskim1-1/+2
2004-12-10remove obsolete patch.dmcmahill1-14/+0
2004-12-07Add #define needed for non-gcc compilers. Should address recent bulk build ↵dmcmahill2-1/+15
failures.
2004-12-06Update HOMEPAGE.minskim1-2/+2
2004-12-06Honor TCL_LIBS in tclConfig.sh.minskim3-11/+27
2004-12-06Include lang/tcl/buildlink3.mk, since this package uses the tclminskim1-1/+2
library directly.
2004-12-03Rename ALL_TARGET to BUILD_TARGET for consistency with other *_TARGETs.wiz4-8/+8
Suggested by Roland Illig, ok'd by various.
2004-12-03Re-point paths to devel/guile14-gtk instead of devel/guile-gtk.wiz1-2/+2
2004-12-03remove conflict with ng-spicedmcmahill1-3/+1
2004-12-03remove conflict with cad/spice. There is no longer a conflict.dmcmahill1-3/+1
2004-12-02update to ng-spice-15cdmcmahill7-91/+110
updates the vbic model, fixes some memory leaks, fixes a bug related to `m' (multiplicity factor), various other bug fixes.
2004-12-02update to ng-spice-15dmcmahill10-135/+118
there have been many many bug fixes since the ng-spice-14. Notable updates include bug fixes in the bjt pole/zero code, updates to the bsim1,2,3 models, updated hsim model, updates the jfet model and much code cleanup.
2004-12-01master site has reorganized a bitdmcmahill1-2/+2
2004-12-01update to electric-7.00dmcmahill6-453/+527
This is a major version bump and represents many many bug fixes and lots of improvements. The scope is fairly broad and can't really be summarized. See the ChangeLog in the distfile for a complete list of changes.
2004-11-30Bump PKGREVISION for removal of db3 buildlink3.mk file fromwiz1-2/+2
GConf's buildlink3.mk file. Bump BUILDLINK_DEPENDS where appropriate.
2004-11-30update to transcalc-0.14dmcmahill7-82/+14
Changes since 0.13: * Fixed multiline string problems in help.h which prevented compilation in gcc >3.2 * Moved build environment automake, autoheader, autoconf to a more modern version (autoconf support is for >2.50). * Ported transcalc to gtk2.0, which means that the dependency on gdk-imlib is now gone. gtk2.0 included gdk-imlib natively. * Corrected some minor bugs related to config file * Changed speed of light symbol from C to C0 * Modified configure.in to work also with Mingw32 (and hopefully cygwin) * Added fnmatch.c and fnmatch.h (needed for Win32) * Added program version number to saved files * Updated speed of light constant (!) and changed its name from C to C0 to avoid clash with variables in other f iles. Updated vacuum dielectric constant * Moved examples from src directory to a separate examples dir * Clean-up most warnings during compilation * Upon running for first time, $HOME/.transcalc dir will be created with config file and save file * Units default values now saved in config file * Upon exit, last transmission line values remembered in save file * Made some slight changes in gui setup * Added coupled microstrip image * Update manpage
2004-11-27remove gsl dependency. It is no longer neededdmcmahill1-2/+1
2004-11-27update to atlc-4.6.0dmcmahill3-58/+464
Many many improvements and bug fixes since the last packaged release. A partial list is: atlc should no longer fail any test on a multi-processor system configured with --with-threads. The algorithm used for both single processor and multiple processor (i.e. threaded code is the same). The -t option to atlc, which sets the number of threads when configured for multiple processors can be set to 0 to use entirely the single-threaded algorithm. If set to one, it will use the multi-threaded algoritm, but use only one thread. If set to some other number, it will use that number of threads and be optimal for the same number of cpus. Added some support for gathering hardware data under Linux. Removed MPI support, as its not working at all. Changes made to the code to remove the need for a type long long, which should make the code more portable. Some changes have been made to the bests so that when the benchmark runs it should not produce junk for the hardware information on any system. Previosly is could create a lot of junk, that was all wrong. uname is now only called once from try_portable.c and the splatform specific stuff computed elsewhere atlc now runs on anything from a toy to a supercomuter! Yes, that is right. An early version of atlc has been run on a Sony Playstation 2 games console and version 4.4.0 has been tested on the Cray Y-MP supercomputer!! It has also been run on a very large number of other UNIX systems, so is hopefully very portable. An option that was previously reccommended --enable-hardware-info has been removed. It is now enabled by default, but can be over-ridden with --disable-hardware-info. Added a system call to get the number of configured processors online in Linux. This seems to be undocumented so it not without its risks, but it seems to work okay on the limited number of systems tested on. Someone has done a Windoze port of atlc. Appently it took just 5 minutes, from start to finish. A single bug was found that prevented atlc compiling, but that was fixed - it needed a left brace removed. This had never been seen on a UNIX system, since the offending code was between a couple of #define's. It has been bought to my attention that bitmaps created with Photoshop prior to version 7.01 could not be read by atlc. This was not a fault of Photoshop, but of atlc, so that bug has been fixed. NEWS for realease 4.6.0 Nobember 2003. This is a very different from the last release (4.5.1) in two very important ways. 1) The basic accuracy for single dielectrics has been improved. Now typical errors are only around 0.1% 2) I have re-enabled the calculation of multiple dielectrics which were disabled due to accuracy concerns. I'm still not 100% happy with the algorithms, but on tests with a dual coaxial cable with two dielectrics shows errors of under 2%, I hope to improve this further at a later date.
2004-11-27update to covered-current-20040325.dmcmahill6-7/+8047
This release contains lots of bug fixes and also contains the initial version of the Covered report viewing GUI (line coverage only). The following is a description of the changes made since the last development release. - Ran C linting tool on all Covered source code and updated code based on linting errors/ warnings. - Modified debug output to show file and line number of code that called the outputting function (easier to debug problems and useful in error regression testing). - Started initial error testing in regressions. - Several bug fixes made to remove segmentation faults and assertion errors in the new report generation functions. - Initial version of Covered report viewing GUI added. This version displays line coverage only at the moment. - Fixed bug in score command for statement removal (was resulting in memory errors that led to segmentation faults). - Fixed bug in report command that output bad verbose information when -c option was used. - Added new diagnostics to regression testsuite to reproduce situations where original segmentation faults were found to occur. - Development documentation updates. No user documentation updates are released at this time (other than information provided using the -h global option to Covered).
2004-11-27update to covered-0.3dmcmahill2-6/+6
Changes since the last stable release: - User-specified FSM code coverage. By using command-line options or inline code specified by the user, FSM code coverage can be extracted from the design. This does not include the ability to automatically extract FSMs from the design (an ability that will be added in future stable releases). - Enhanced performance of the score command. A 3x - 5x speedup in the running time of the score command on the design should be expected with this release over past stable releases. - Enhanced readability of coverage reports. The coverage report look has been overhauled to produce a much more readable/understandable coverage report. - Several bug-fixes have been made, including coverage number calculation bugs. - Development and user documentation updates. - Enhanced regression suite.
2004-11-27update to verilog-current 20041004.dmcmahill2-6/+5
Release Notes for Icarus Verilog Snapshot 20041004 Some minor Makefile bugs have been fixed, and source file text formatting has in some cases been normalized for release. Also, configure scripts have been factored for a more consistent build. Fixed continuous assignments to carry strength when needed for correct behavior. This bug led to subtly incorrect reset behavior, but could have caused strength modeling errors in a variety of situations. Fixed some <= vs >= behaviors to be consistent. The results of these comparisons, when sized values are involved, are more standard now.
2004-11-19Unused patchesadam2-26/+0
2004-11-19Changes 3.3.0:adam6-123/+125
Replaced the "hspice" directory with Conrad Ziesler's "spiceparser". The use of routine AddNTermModule() has broken the spice input for now, although once fixed, the results should be much improved. Also, changed the handling of expression parameters so that expression parameter results may be numeric, and evaluated results are saved in the PostScript output as instance values. When traversing the hierarchy during redisplay, commands invoking a "selected" element will pick up the object instance being rendered. The combination of these changes allows the implementation of several useful features such as counter-rotation and displaying the name of an object within the object.