summaryrefslogtreecommitdiff
path: root/cad
AgeCommit message (Collapse)AuthorFilesLines
2019-12-29drop PLIST var handling, as these are not installed by package nowplunky1-11/+1
2019-12-29do not copy or reinstall Qt plugins, allow QCAD to find them directlyplunky5-55/+36
2019-12-29does not use qt5-qttoolsplunky1-2/+1
2019-12-29install icons as qcad.???plunky2-12/+19
2019-12-29Update to QCAD 3.24.0.1, with ChangeLog:plunky8-126/+229
3.24.0.1: Bug fixes: FS#2017 - Rendering of arcs in blocks with scale factor > 1 3.24.0 (2019/12/19): Draw: Ellipse > Inscribed in Quadrilateral: Create circle if appropriate Performance: Use of multiple CPU cores for display Modify: Scale: Improved support for non-uniform scaling Blocks: Improved support for non-uniform scaling Snap > Reference: Snap to reference point of block reference for block reference entities Edit > Application Preferences: File > Open/Save: Add preference to override "last saved by" file information for DXF/DWG export Misc > Script Examples > Mathematics > FlexPainter Paint complex patterns along existing lines and curves (see forum announcement) macOS 10.15: Update to Qt 5.13.2 Fix mouse cursor display Linux: Provide packages linked against Qt 5.13 Wayland support Fix keyboard layout switching under Wayland Bug fixes: FS#1931 - File > SVG Export: hatch arc interpolation FS#1933 - File > Advanced SVG export: file overwrite check doesn't use updated filename FS#1942 - Draw > Circle > Two tangents and point: fails for case with point on bisector FS#1946 - CAM > Cam Legacy Export: Cut inner paths before outer paths has no effect FS#1948 - Modify > Offset (through Point): Point orthogonal to end point of line segment not accepted FS#1949 - Modify > Break out: Leftover (zero length line) after using tool on ray FS#1950 - File > Save As: File dialog offers unsupported export formats (DWG R9, R10, R2.6, R2.5) FS#1962 - CAM > Drill Toolpaths: Cannot be edited / updated from source entities FS#1973 - File > SVG Export: polylines with large arc segments (> 180°) not exported correctly FS#1975 - RPolyline::getOrientation: Wrong orientation for polylines with large arcs FS#1979 - View > Layer List: icon spacing FS#1984 - Block > Create Block from Selection: Slow for large number of entities FS#1985 - Hatch dots are rendered as lines with fixed lenght of 0.02 units FS#1986 - Page Tags set as default not displayed FS#1987 - File > SVG Export: full circle hatch FS#1990 - Layer > Duplicate Layer: fails for layer "0" FS#1991 - Modify > Divide: Preview indicator fails on infinitive lines FS#1993 - Draw > Insert Bitmap: relative zero point not set FS#1996 - File > Save: DXF header variable CECOLOR changed FS#2000 - CAM > Nesting: misleading "Sheet added" confirmation FS#2001 - CAM > Nesting: Alignment fails FS#2002 - Draw > Viewport > Add Viewport: Hatch is offset incorrectly in Viewport FS#2007 - bbox.bat cannot write log file FS#2012 - Draw > Hatch > Hatch from Selection: block transforms not taken into account
2019-12-28tnt-mmtl: update to 1.2.2nb13.wiz2-24/+3
Something changed in the dependencies, breaking the build of some png files which are now not installed any longer. Remove them from the PLIST and bump PKGREVISION.
2019-12-07ensure debug binaries are not built, by using target 'release'plunky1-17/+5
instead of 'all'
2019-11-16(cad/gtkwave) Updated to 3.3.103mef2-7/+7
3.3.103 03nov19 Fix MAP_FAILED missing for MinGW. Fix to make the coloration red on 'u' traces. (Bug from Dinotrace-like rendering in 3.3.96.) Typo fix on missing group start on vectors.
2019-11-13cad/qcad: Add workaround for debug/release library confusiongdt1-2/+17
Avoid name clash between release-mode and debug-mode libraries so that "libqcaddxf.so" is not rejected by the plugin loader. Patch from John D. Baker via pkgsrc-users.
2019-11-11librecad: Needs qt5-qttoolsnia1-1/+3
2019-11-10(cad/xcircuit) Updated 3.9.72 to 3.10.13, ChangeLog for recent unknownmef3-133/+137
2019-11-10(cad/gtkwave) Updated to 3.3.102mef2-7/+7
3.3.102 21sep19 Remove redundant TREE_VHDL_ST_PACKAGE from SST exclude. Added addCommentTracesFromList tcl command from user patch. Harden savefile loader for missing group start on vectors. Preliminary VHDL support for wlf2vcd. Add missing return value checks on mmap() in FST writer.
2019-10-29openscad: Switch to qt5kamil1-5/+5
2019-10-27qcad: Handle platforms without EGL support (NetBSD 8...)nia2-4/+14
2019-10-26librecad: Upgrade to 2.2.0 rc1kamil14-135/+118
Exact changelog is unknown, but there is Qt4 -> Qt5 switch. Upstream changelog ================== 2.2.0 Release Candidate There were more than 250 commits since 2.2.0-alpha, thus it was overdue to get rid of the alpha in the tag now. With some more improvements in the last couple weeks, we switch directly to Release Candidate as the response from community is, that the master branch is stable. There are only some minor changes in the line before the final 2.2.0 release.
2019-10-17(cad/gtkwave) Updated 3.3.100 to 3.3.101mef2-8/+7
3.3.101 08may19 Added gtkwave::getFacDir, gtkwave::getFacVtype, and gtkwave::getFacDtype Tcl accessor functions that function similar to gtkwave::getFacName. Pair $end with $dumpvars in VCD writers. Make %.16g printing in baseconvert.c more resistant to power of 10 roundoff errors. Remove register keyword where applicable as is deprecated. Added --saveonexit gtkwave command line option.
2019-10-05Enable py-PyRTLryoon1-1/+2
2019-10-05cad/py-PyRTL: import py37-PyRTL-0.8.7ryoon4-0/+162
PyRTL provides a collection of classes for pythonic register-transfer level design, simulation, tracing, and testing suitable for teaching and research. Simplicity, usability, clarity, and extensibility rather than performance or optimization is the overarching goal.
2019-10-02Remove a whitespaceryoon1-1/+1
2019-09-19qcad: Fix building with unrecognized (newer) Qt versions.nia6-147/+72
It's using the same script bindings for every Qt version past 5.5.0, so the version check isn't actually necessary at this point. Just pretend to be 5.5.0 and install those bindings. While here, install icons and avoid installing .gitignore files. Bump PKGREVISION.
2019-09-18Recursive revbump from audio/pulseaudioryoon2-4/+4
2019-09-05qcad: Switch from qt5 to qt5nia17-103/+141
Bump PKGREVISION
2019-09-02Changed PYTHON_VERSIONS_INCOMPATIBLE to PYTHON_VERSIONS_ACCEPTED; needed for ↵adam1-2/+2
future Python 3.8
2019-08-30kicad: Needs only MesaLib and glunia1-2/+3
2019-08-30oce: Only needs MesaLib and glunia2-4/+6
2019-08-29Update kicad packages to 5.1.4. Changes since 5.1.2:bouyer20-86/+290
The KiCad project is proud to announce the latest series 5 stable release of KiCad. The 5.1.4 stable version contains critical bug fixes and other minor improvements since the 5.1.2 and 5.1.3 releases. It also includes improved footprint, symbol, and 3D model libraries, translations, and documentation. A list of all of the fixed bugs since the 5.1.2 and 5.1.3 releases can be found on the KiCad 5.1.3 milestone page and the KiCad 5.1.4 milestone page. This release contains several critical bug fixes so please consider upgrading as soon as possible.
2019-08-23Update to 4.016ryoon2-8/+7
Changelog: * Verilator 4.016 2016-06-16 *** Add --quiet-exit, bug1436. [Todd Strader] **** Error continuation lines no longer have %Error prefix. **** Support logical equivalence operator <->. **** Support VerilatedFstC set_time_unit, bug1433. [Pieter Kapsenberg] **** Support deferred assertions, bug1449. [Charles Eddleston] **** Mark infrequently called functions with GCC cold attribute. **** Fix sign-compare warning in verilated.cpp, bug1437. [Sergey Kvachonok] **** Fix fault on $realtime with %t, bug1443. [Julien Margetts] **** Fix $display with string without %s, bug1441. [Denis Rystsov] **** Fix parameter function string returns, bug1441. [Denis Rystsov] **** Fix invalid XML output due to special chars, bug1444. [Kanad Kanhere] **** Fix performance when mulithreaded on 1 CPU, bug1455. [Stefan Wallentowitz] **** Fix type and real parameter issues, bug1427, bug1456, bug1458. [Todd Strader] **** Fix build error on MinGW, bug1460. [Richard Myers] **** Fix not reporting some duplicate signals, bug1462. [Peter Gerst] **** Fix --savable invalid C++ on packed arrays, bug1465. [Alex Chadwick] **** Fix constant function return of function var, bug1467. [Roman Popov] * Verilator 4.014 2019-05-08 *** Add --trace-fst-thread. **** Support '#' comments in $readmem, bug1411. [Frederick Requin] **** Support "'dx" constants, bug1423. [Udi Finkelstein] **** For FST tracing use LZ4 compression. [Tony Bybell] **** Add error when use parameters without value, bug1424. [Peter Gerst] **** Auto-extend and WIDTH warn on unsized X/Zs, bug1423. [Udi Finkelstein] **** Fix missing VL_SHIFTL_ errors, bug1412, bug1415. [Larry Lee] **** Fix MinGW GCC 6 printf formats, bug1413. [Sergey Kvachonok] **** Fix test problems when missing fst2vcd, bug1417. [Todd Strader] **** Fix GTKWave register warning, bug1421. [Pieter Kapsenberg] **** Fix FST enums not displaying, bug1426. [Danilo Ramos] **** Fix table compile error with multiinterfaces, bug1431. [Bogdan Vukobratovic]
2019-08-22Recursive revbump from boost-1.71.0ryoon3-6/+6
2019-08-11Bump PKGREVISIONs for perl 5.30.0wiz6-11/+12
2019-07-31Update to QCAD 3.23.0plunky9-13490/+1259
ChangeLog: 3.23.0 (2019/07/19): Fix high-resolution / low resolution display on mixed display settings Performance: Improved performance / precision for polyline area calculation for polylines with arcs Bug fixes: FS#1891 - File > Import: import fails FS#1893 - Translations not loaded during first start FS#1894 - File > Bitmap Export: points rendered too big FS#1896 - File > Open: Import fails for some files with layer states and NULL layers FS#1899 - View > Auto zoom: zoom to invisible entities in blocks FS#1904 - File > Bitmap Export: Non-plottable layers not exported FS#1906 - Draw > Polyline > Polyline from Segments: Converting full arcs to polylines FS#1909 - Blocks invisible when loading disappear when made visible and panning 3.22.1 (2019/05/22): Update CXF font format to support polylines and closed polylines Themes: Updated Dark theme for Linux Performance improvement: Faster block switching in drawings with many blocks Use Alt key for ortho mode Draw > Text: Support for underlined text Bug fixes: FS#1857 - Layer List: current layer not highlighted after collapsing item FS#1859 - Modify > Stretch: dimension completely inside area not moved FS#1860 - Rendering of nested blocks / use of negative scale factors FS#1861 - Modify > Stretch: block references inside stretch box are not moving FS#1864 - File > Print Current View: multiple pages printed FS#1865 - Scaling polyline with width by negative factor removes width FS#1866 - DXF: EXTMIN/EXTMAX interchanged FS#1871 - View > Block List: Renaming current block does not update block list FS#1876 - Edit > Convert Drawing Unit: block array spacing FS#1879 - File > PDF Export: print preview unclosable FS#1883 - File > SVG Export: invalid SVG comments FS#1884 - File > Bitmap Export: margins despite zero margin settings FS#1885 - Modify > Edit Text: Text dialog messes up formatting of subscript / superscript 3.22.0 (2019/03/04): Performance improvements: Adding objects Modifying objects (in particular modifying geometry) Deleting objects Draw: Shapes: Add corner radius option Modify: Mirror, Rotate, Scale: Add preference to use / not use dialog Select and move multiple reference points Translations: Updated translations in Czech, Dutch, Italian, Spanish, Portuguese Themes: Updated Dark theme Bug fixes: FS#1660 - Draw > Viewport > Add Viewport: viewport in model space FS#1804 - Application Preferences > Tool Settings: Filter for "View", submenu for Overlays missing FS#1805 - Modify > Split Entities: broken for logically closed polylines FS#1808 - Property Editor: Changing width, height, orientation for polygon FS#1809 - Draw > Viewport > Add Viewport: layout dialog combobox broken FS#1812 - Coordinate display: coordinates not updated while mouse is moving FS#1817 - CAM Export: offset fails for contours with arcs (tolerance issue) FS#1825 - File > Svg Export: text exported with wrong height FS#1831 - Block > Copy Block: attributes of block references are orphaned in copied block FS#1832 - Modify > Explode: attributes of block references are orphaned after exploding FS#1834 - Property Editor: Attributes of block reference not showing 3.21.3 (2018/09/18): View: Property Editor: Add property "Scale" for angle dimensions, arc length dimensions Add property "Linear Factor" for arc length dimensions Focus on Tool Matrix Hand keyboard focus to filter input of tool matrix Edit > Application Preferences > Graphics View > Colors > Selection: Add color for tertiary reference points Bug fixes: FS#1783 - RArc::splitAt(): fails in some cases FS#1786 - Block > Select Block References: not using active block in block list FS#1787 - View > Property Editor: Layer combo box not sorted FS#1791 - Edit > Paste: relative zero point not set FS#1792 - Dimension > Angular: transformations broken FS#1797 - Keyboard shortcuts not saved between sessions FS#1798 - Drawing Pref > Linetype > Scale of linetypes matches the scale of each viewport: wrong default shown FS#1799 - Postprocessor: sign not forced for negative values rounded to zero
2019-07-21*: recursive bump for gdk-pixbuf2-2.38.1wiz10-19/+20
2019-07-20*: recursive bump for nettle 3.5.1wiz1-2/+2
2019-07-09Use https for pythonhosted.org.nia1-2/+2
2019-07-08Follow some http->https redirects.nia1-2/+2
2019-07-04Add package specific descriptionryoon2-0/+4
2019-07-01Follow some remaining search.cpan.org redirects.nia1-2/+2
2019-07-01Recursive revbump from boost-1.70.0ryoon3-4/+6
2019-06-22(cad/openscad) Updated 2015.03-3 to 2019.05 (Re: PR pkg/54302)mef7-93/+56
# OpenSCAD 2019.05 **Language Features:** * New modules * assert() - stop script evaluation on failed constraints * let() - scoped assignment * New functions * ord() - convert from character to Unicode code point * echo() * assert() - stop script evaluation on failed constraints * Type testing functions: is_undef(), is_list(), is_num(), is_bool(), is_string() * New special variable: $preview variable which is set to true in preview mode * List comprehension updates * Added if/else condition * Added each keyword * Added C-style for loop * Now allows looping over characters of a string * rotate_extrude(): Added angle parameter to * import() now supports SVG, 3MF and AMF * color() now supports hex color codes * Removed glide() and subdiv() which were never implemented **Program Features:** * Customizer: Allow parametrizing design parameters with GUI customization * Support for using 3D-Mouse / Joystick / Gamepad input devices for controlling the 3D view * 3D Printing support: Purchase from a print service partner or print to Octoprint * New export file formats: SVG, 3MF, AMF * Quick-edit and preview of values using Alt-Arrows (Shift-Alt-Arrows on macOS) * Added --view cmd-line parameter * Play sound notification on render complete * Line numbers and filenames are now shown for many errors and warnings * Hardwarning preference: Stop on first warning * Hardwarning and assert now shows a stack trace * New warnings * Module call parameterns don't match module declaration * Argument value of of range * Duplicate passed argument * Children passed to module not accepting children * Reference to inknown $special_variables * Duplicate assigment * New translations: Ukrainian, Polish
2019-06-14Add kicad-packages3d kicad-symbols kicad-templatesbouyer1-2/+4
Remove kicad-lib
2019-06-14Update kicad packages to 5.1.2, based on kicad 5.1.0 packages by Atsushibouyer57-17219/+24739
Toyokura in pkgsrc-wip. pkgsrc changes: kicad-lib is gone, replaced by kicad-symbols and kicad-templates. Changes since 4.0.7: KiCad 5.1.2 Release 2019-04-26 The KiCad project is proud to announce the latest series 5 stable release of KiCad. The 5.1.2 stable version contains critical bug fixes and other minor improvements since the 5.1.0 release. It also includes improved footprint, symbol, and 3D model libraries, translations, and documentation. A list of all of the fixed bugs since the 5.1.0 release can be found on the KiCad 5.1.2 milestone page. This release contains several critical bug fixes so please consider upgrading as soon as possible. KiCad 5.1.0 Release 2019-03-14 The KiCad project is proud to announce the release of version 5.1.0. This is the first ever minor version release of KiCad and was developed primarily to resolve compatibility issues with Linux GTK3 and long awaited support for python3. In addition to the primary focus, there have been many important changes that make this release a substantial improvement over the 5.0 series and a worthwhile upgrade for users on all platforms. Included in the improvements are: - Improved 3D model library path configuration. - Cairo canvas is now used for printing support on all platforms. - Schematic and symbol library editors now use the modern canvases for rendering. - Symbol pin table is now editable. - Pcbnew scripting support for Python 3 has been added. - Snapping for graphical object drawing in board and footprint editors. - Significant user interface improvements. - Major dialog box improvements. - Both the footprint and symbol library editors now share the same user interface paradigm with a library tree view pane. - Symbol, footprint, and 3D model library improvements. - Documentation and translation improvements. - Less pain for Linux package maintainers, now all features should be easy to support. Additionally, files created by KiCad version 5.1 remain 100% compatible with files created by version 5.0. Of course no new stable version of KiCad would be complete without many, many bug fixes so please consider upgrading as soon as possible. This version will be the only supported version of KiCad moving forward. There will be no further releases of the 5.0 series. Version 5.1.0 is built from the source code in the lp:kicad/5.1 branch. KiCad binaries will be available for download for Windows, MacOS, and Linux are available or will be in the very near future. See the KiCad download page for guidance. Thank you to all developers, packagers, librarians, document writers, translators, and everyone else who helped make this release possible. We hope you enjoy the latest release of KiCad. The KiCad Development Team KiCad 5.0.2 Release 2018-12-09 The KiCad project is proud to announce the latest series 5 stable release of KiCad. The 5.0.2 stable version contains critical bug fixes and other minor improvements since the 5.0.1 release. It also includes improved footprint, symbol, and 3D model libraries, translations, and documentation. A list of all of the fixed bugs since the 5.0.1 release can be found on the KiCad 5.0.2 milestone page. This release contains several critical bug fixes so please consider upgrading as soon as possible. http://kicad-pcb.org/blog/2018/12/KiCad-5.0.2-Release/ KiCad 5.0.1 Release 2018-10-14 The KiCad project is proud to announce the latest series 5 stable release of KiCad. The 5.0.1 stable version contains critical bug fixes and other minor improvements since the 5.0.0 release. It also includes improved footprint, symbol, and 3D model libraries, translations, and documentation. A list of all of the fixed bugs since the 5.0.0 release can be found on the KiCad 5.0.1 milestone page. This release contains several critical bug fixes so please consider upgrading as soon as possible. http://kicad-pcb.org/blog/2018/10/KiCad-5.0.1-Release/ Warning Please note that a last minute bug was found in the Eagle schematic import feature too late to be fixed in this release which is expected to be fixed in release 5.0.2. If you need to convert Eagle schematics you may want to hold off upgrading until 5.0.2. KiCad 5 - A New Generation 2018-07-22 Almost a year after the release of KiCad 4.0.7, the KiCad development team is proud to present a new and improved KiCad 5.0 release! The stable release version 5.0.0 is made from the stable 5.0 branch. KiCad binaries should be available now or in the very near future for download for Windows, macOS and Linux. See the download page for guidance. http://kicad-pcb.org/post/release-5.0.0/
2019-06-08cad/*: let pkglint fix indentation, variable alignment, and LOCALBASErillig43-122/+136
pkglint -Wall -r -F cad Manually fixed the indentation in cad/ghdl/Makefile because SITES.* was (by the current definition) not long enough to count as an outlier line, and because of this, all other lines were aligned to that line.
2019-06-03Fix build with newer booostbouyer2-1/+16
2019-05-29Revbump after updating graphics/glewadam3-6/+6
2019-05-23all: replace SUBST_SED with the simpler SUBST_VARSrillig1-2/+2
pkglint -Wall -r --only "substitution command" -F With manual review and indentation fixes since pkglint doesn't get that part correct in every case.
2019-04-26Omit mentions of python 34 and 35, after those were removed.maya1-2/+2
- Includes some whitespace changes, to be handled in a separate commit.
2019-04-25PKGREVISION bump for anything using python without a PYPKGPREFIX.maya3-6/+6
This is a semi-manual PKGREVISION bump.
2019-04-13Updated cad/gtkwave to 3.3.100mef2-7/+7
3.3.100 20mar19 FSDB fix for variable declarations of array of reals. Added Real, Time, Enum, and Popcnt flags to Edit/Show-Change. Ensure Show-Change regenerates analog traces. Added braces inside Tcl source command to allow spaces in filenames for Tcl scripts.
2019-04-03Recursive revbump from textproc/icuryoon1-2/+2
2019-04-01Update to 4.012ryoon3-12/+10
* flex from NetBSD base causes build failure, so use pkgsrc/devel/flex instead. Changelog: * Verilator 4.012 2019-3-23 *** Add +verilator+seed, bug1396. [Stan Sokorac] *** Support $fread. [Leendert van Doorn] *** Support void' cast on functions called as tasks, bug1383. [Al Grant] *** Add IGNOREDRETURN warning, bug1383. **** Report PORTSHORT errors on concat constants, bug 1400. [Will Korteland] **** Fix VERILATOR_GDB being ignored, msg2860. [Yu Sheng Lin] **** Fix $value$plus$args missing verilated_heavy.h. [Yi-Chung Chen] **** Fix MSVC compile error, bug1406. [Benjamin Gartner] **** Fix maintainer test when no Parallel::Forker, msg2630. [Enzo Chi] **** Fix +1364-1995ext flags applying too late, bug1384. [Al Grant] * Verilator 4.010 2019-01-27 *** Removed --trace-lxt2, use --trace-fst instead. **** For --xml, add additional information, bug1372. [Jonathan Kimmitt] **** Add circular typedef error, bug1388. [Al Grant] **** Add unsupported for loops error, msg2692. [Yu Sheng Lin] **** Fix FST tracing of wide arrays, bug1376. [Aleksander Osman] **** Fix error when pattern assignment has too few elements, bug1378. [Viktor Tomov] **** Fix error when no modules in $unit, bug1381. [Al Grant] **** Fix missing too many digits warning, bug1380. [Jonathan Kimmitt] **** Fix uninitialized data in verFiles and unroller, bug1385. bug1386. [Al Grant] **** Fix internal error on xrefs into unrolled functions, bug1387. [Al Grant] **** Fix DPI export void compiler error, bug1391. [Stan Sokorac] * Verilator 4.008 2018-12-01 *** Support "ref" and "const ref" pins and functions, bug1360. [Jake Longo] *** In --xml-only show the original unmodified names, and add module_files and cells similar to Verilog-Perl, msg2719. [Kanad Kanhere] **** Add CONTASSREG error on continuous assignments to regs, bug1369. [Peter Gerst] **** Add PROCASSWIRE error on behavioral assignments to wires, msg2737. [Neil Turton] **** Add IMPORTSTAR warning on import::* inside $unit scope. **** Fix --trace-lxt2 compile error on MinGW, msg2711. [HyungKi Jeong] **** Fix hang on bad pattern keys, bug1364. [Matt Myers] **** Fix crash due to cygwin bug in getline, bug1349. [Affe Mao] **** Fix __Slow files getting compiled with OPT_FAST, bug1370. [Thomas Watts]
2019-03-20ng-spice: update to 27nb1.wiz1-3/+2
Remove libXp dependency. Not used here.