summaryrefslogtreecommitdiff
path: root/cad
AgeCommit message (Collapse)AuthorFilesLines
2021-02-07*: Recursive revbump from audio/pulseaudio-14.2.nb1ryoon3-6/+6
2021-01-14(cad/klayout) Fix runtime stream format read, converted install dir.mef2-125/+295
Previous PKGREVISION did not install plugins, so that reading file may give unsupportted format error etc. Installing more files now. Because of this the directory is now under ${PREFIX}/lib/klayout/. The error was originally reported from Atsushi Toyokura by personal communication, thanks. (The problem was since 26.*, probably), PKGREVISION++.
2021-01-01*: Recursive revbump from audio/pulseaudio-14.0ryoon3-6/+6
2021-01-01*: Recursive revbump from boost-1.75.0ryoon3-6/+6
2020-12-04Revbump packages with a runtime Python dep but no version prefix.nia3-6/+6
For the Python 3.8 default switch.
2020-11-05*: Recursive revbump from textproc/icu-68.1ryoon5-8/+10
2020-10-30dinotrace: fix dependency patternwiz1-2/+2
2020-10-30(cad/klayout) Updated 0.25.9 to 0.26.8 by Atsushi Toyokura <asteria.at (at) ↵mef5-41/+90
gmail.com> 0.26.8 (2020-09-15): * Enhancement: %GITHUB%/issues/639 A new method is available which enables copying of multiple cells into a target layout while maintaining common subcells are single cells. * Bugfix: %GITHUB%/issues/637 Points have not been selected properly when inside mirrored subcells in partial edit mode. * Bugfix: %GITHUB%/issues/629 Qt5 QTimer timeout signal was not available to Python or Ruby. This also applied to all "private" signals of Qt5. QTimer is just one important example for this case. * Bugfix: %GITHUB%/issues/617 A problem with pseudo-const Python/Ruby objects in the netlist API was fixed. * Bugfix: %GITHUB%/issues/615 Basic authentication for package manager now uses UTF-8 encoding. Most servers expect this kind of encoding today. UTF password characters are supported this way. 0.26.7 (2020-07-07): * Bugfix: %GITHUB%/issues/381 and more Some bugs fixed that happened specifically on MacOS. 1.) A segfault that happened in LVS 2.) Some classes were not properly registered for usage in scripts. * Bugfix: %GITHUB%/issues/493 LVS asserted on MacOS * Enhancement: %GITHUB%/issues/586 As a safety feature, the stream writers now refuse to write layouts with cells carrying the same names. Writing such layers would result in corrupted or invalid layout files. * Bugfix: %GITHUB%/issues/589 (tentative) On Anaconda-based builds for MacOS 10.15 (Catalina) a loss of instances was observed. This could be traced down to compiler/library incompatibilities but not further. * Enhancements: %GITHUB%/issues/591 Two enhancements in the macro editor: 1.) A crash happening when adding a new location was fixed 2.) The template selection popup can now be disabled by dismissing the tip dialog. * Bugfix: %GITHUB%/issues/592 Reading a file into a layout with unnamed layers caused problems with layer mapping specs. * Bugfix: %GITHUB%/issues/596 Library#_destroy was crashing the application. As this function must not be called, it's rerouted to "nothing" for backward compatibility. * Enhancement: %GITHUB%/issues/603 The "adjust origin" feature now allows specifying the target coordinates for the adjustment. * Bugfix: %GITHUB%/issues/610 An internal error happened for certain hierarchical configurations on netlist extraction. * Enhancement: LVS netlist compare The algorithm was improved to provide better reproducibility. The detection of matching paths in the presence of ambiguities was improved. In addition, the netlist compare now favours net names for resolving ambiguities. So if nets are named the same in the layout and the schematic, ambiguities are resolved based on these names. This is usually more efficient. A new function is available to turn this feature off: "consider_net_names(false)". 0.26.6 (2020-06-05): * Enhancement: %GITHUB%/issues/522 Skip comment lines with // in RVE DB reader * Bugfix: %GITHUB%/issues/547 Doc fixes, better error messages on some Shape methods * Bugfix: %GITHUB%/issues/555 Using 64bit coordinates on RVE reader to avoid overflow * Bugfix: %GITHUB%/issues/565 Spice reader: .global should not add pin if the signal isn't used in a subcircuit * Bugfix: %GITHUB%/issues/568 and %GITHUB%/issues/578 A CIF reader and writer bug was fixed * Bugfix: %GITHUB%/issues/570 and %GITHUB%/issues/579 Perimenter can be included in antenna area for the antenna check. This also includes a mode in which only the perimeter is considered. * Enhancement: %GITHUB%/issues/560 A library can be associated with multiple technologies now. * Bugfix: width of cell and library side panels could not be reduced below the width of the selection boxes in the header * Bugfix: cell view selection header text did not get updated when saving the layout to a different file 0.26.5 (2020-05-02): * Bugfix: %GITHUB%/issues/539 An internal error happened on netlist flatten sometimes * Bugfix: %GITHUB%/issues/524 Failed queries leave layout in undefined state * Bugfix: %GITHUB%/issues/525 Report true source line in DRC and LVS verbose mode * Bugfix: %GITHUB%/issues/526 Makes script debugging more reliable * Enhancement: %GITHUB%/issues/527 Wildcard layer mapping for stream readers * Bugfix: %GITHUB%/issues/544 Duplicate .global statements in SPICE netlists created duplicate pins * Bugfix: %GITHUB%/issues/548 A shielding issue was fixed for DRC space measurements * Bugfix: diff tool bug with paths Differences in path points where no reported * Enhancement: documentation clarifications and typos fixed 0.26.4 (2020-03-02): * Bugfix: %GITHUB%/issues/517 DEF reader could not read VIAS+VIARULE without pattern * Enhancement: %GITHUB%/issues/471 Leaner way to specify LVS compare tolerances for device parameters * Enhancement: %GITHUB%/issues/473 Direct access to image data in scripts (array of values instead of per-pixel calls) * Bugfix: %GITHUB%/issues/476 Issues with "target" switching in DRC solved * Bugfix: %GITHUB%/issues/477 Missing letter "J" in Times font .. actually this bug is of 1967. * Bugfix: %GITHUB%/issues/478 Layout queries could not use the "shapes" method * Bugfix: %GITHUB%/issues/481 "isolated" DRC function reported duplicate marker shapes * Enhancement: %GITHUB%/issues/482 "join_symmetric_nets" feature in LVS for implementing "split gate" configurations * Enhancement: %GITHUB%/issues/486 There is a "save as" function available now in the macro editor (in context menu of the macro tree) * Enhancement: %GITHUB%/issues/489 Pin names can be attached as properties to port and pin shapes when reading LEF or DEF * Bugfix: %GITHUB%/issues/491 Performance enhancement of L2N/LVS DB file loader * Bugfix: %GITHUB%/issues/496 Fixed builds on CentOS 8 and Fedora 31 * Enhancement: %GITHUB%/issues/500 Option to limit number of shapes for net tracer * Bugfix: %GITHUB%/issues/501 Issues with ownership transfer fixed in Qt binding * Bugfix: %GITHUB%/issues/502 Issues with AbsoluteProgressReporter in scripts fixed 0.26.3 (2020-01-05): * Bugfix: %GITHUB%/issues/466 A crash happened when accessing layer properties tabs with an invalid index in Ruby or Python * Bugfix: %GITHUB%/issues/464 Problem building a layer tree with "add_child" (Ruby/Python) * Bugfix: %GITHUB%/issues/463 Configuring a layer color with a group node was not effective over multiple levels of hierarchy * Enhancement: %GITHUB%/issues/462 MOS transistor gate figures can be more generic now, e.g. ring-like or non-rectangular * Bugfix: %GITHUB%/issues/458 A net extraction bug related to certain array/array interactions is fixed 0.26.2 (2019-12-18): * Bugfix: %GITHUB%/issues/453 A crash happened when the "inverse" button is used on the TEXT PCell * Bugfix: %GITHUB%/issues/449 The Qt windows styling plugin is now installed too on Windows. * Bugfix: %GITHUB%/issues/448 The LVSDB database wasn't written with the proper device class statements in short form. * Bugfix: %GITHUB%/issues/447 Net extraction did not work properly on a specific test case with folded and interleaving array instances. * Bugfix: %GITHUB%/issues/445 "Selection/Merge shapes" gave cryptic message when instances are selected * Enhancement: %GITHUB%/issues/444 Support for net joining though labels also for specific subcells (here: to support double-height standard cells) * Bugfix: %GITHUB%/issues/440 LayoutView#each_annotation_selected wasn't working * Enhancement: %GITHUB%/issues/438 Redefining a circuit in Spice netlists will give an error now * Enhancement: %GITHUB%/issues/436 Option to silence instance copy mode dialog, Enhancements to interactive move, duplicate and copy (sensitive to transient selection now) * Enhancement: %GITHUB%/issues/434 New preinstalled Ruby gems and Python packages (e.g. numpy, scipy, test/unit for Ruby) for the Windows packages. * Bugfix: %GITHUB%/issues/429 Support for MAGIC file format (*.mag) * Enhancement: %GITHUB%/issues/428 Edit buttons are not shown in user properties dialog in viewer mode * Enhancement: %GITHUB%/issues/426 Techs can be grouped in menu now * Enhancement: %GITHUB%/issues/425 Don't generate dummy pins for dummy gates * Bugfix: %GITHUB%/issues/419 Multiple top circuits after flatten of netlist * Bugfix: %GITHUB%/issues/417 Probe nets does not work with flat extraction on Tools/Trace All Nets/Flat * Bugfix: %GITHUB%/issues/415 and %GITHUB%/issues/439 Macros need to be stored with CRLF on Windows for management with a versioning system like git * Enhancement: %GITHUB%/issues/411 Multiple device extractors for same class * Bugfix: %GITHUB%/issues/410 Errors were not reported on "rerun" from the DRC and LVS report dialogs * Bugfix: %GITHUB%/issues/408 Internal error after EdgePairs#polygon * Enhancement: %GITHUB%/issues/407 Variables can be used in technology base path now - $(tech_name) -> substituted by the technology name - $(tech_dir) -> substituted by the directory the technology file is stored in - $(tech_file) -> substituted by the absolute path to the tech file - $(appdata_path) -> substituted by KLayout's home directory (e.g. ~/.klayout) - $(env('X')) -> substituted by the environment variable $X * Enhancement: %GITHUB%/issues/406 DRC edge layers: AND between intersecting edges gives point-like markers indicating the intersection points * Enhancement: netlist object properties User properties can be attached to the following netlist objects now: Pin, Device, Circuit, Net and SubCircuit. Properties are key/value pairs (keys and values can be strings can be strings or numbers). The property-enabled classes use "NetlistObject" as the base class now. NetlistObject provides "set_property" to set or reset a property and "property" to retrieve one. Properties are persisted in L2N and LVSDB files and written to layout shapes on "build_nets". * Enhancement: more detailed progress On certain nested operations, a double or triple progress bar is shown indicating the progress of nested operations. 0.26.1 (2019-11-06): * Bugfix: %GITHUB%/issues/354 Buddy scripts did segfault. * Bugfix: %GITHUB%/issues/352 LVS: equivalent_pins required the cell to be present. * Enhancement: %GITHUB%/issues/353 Session files: "file-path" and "rdb-file" behavior was inconsistent. * Bugfix: %GITHUB%/issues/358 "strm2oas" was writing GDS files. * Bugfix: %GITHUB%/issues/363 It was possible to produce bad polygons while drawing. * Bugfix: %GITHUB%/issues/372 pymod build failed with HAVE_64BIT_COORD=1. * Enhancement: %GITHUB%/issues/376 Parameters UI callback invoking. * Bugfix: %GITHUB%/issues/368 Segfault with layer list manipulation while iterating. * Bugfix: %GITHUB%/issues/386 "None" is a reserved keyword for Python binding - use "None_". * Enhancement: %GITHUB%/issues/382 Possibility to copy user property value. * Enhancement: %GITHUB%/issues/387 Salt: Python version can be specified in "api-version". Other requirements can be specified too. * Bugfix: %GITHUB%/issues/392 Layers "Style" sub-pane was malformed. * Enhancement: %GITHUB%/issues/394 Even bigger font sizes for rulers and labels for the 4k age: Now there is "XLarge", "XXLarge" and "XXXLarge" as well. * Enhancement: %GITHUB%/issues/393 DRC syntax errors are now shown in their original code line of the DRC script. * Bugfix: %GITHUB%/issues/400 Snap feature wasn't working for deep regions in certain use cases. * Enhancement: new "scale_and_snap" methods These methods allow scaling and snapping of layouts. Scaling is supported by a rational factor. After scaling, snapping can be applied to an integer grid. There are two incarnations. Region#scale_and_snap (or Region#scaled_and_snapped): this is a flat implementation which allows anisotropic scaling/snapping as well. And there is Layout#scale_and_snap which is a fully hierarchical scale-and-snap feature. The latter does not support anisotropic scaling/snapping, but works hierarchically without opening gaps. It operates on a top cell and on all layers. * Enhancement: new Region#pull_* methods and DRC features "pull_*" is a class of methods that allows rehierarchisation of shapes. These methods act similar to "interacting", but the other way around: instead of delivering interacting shapes of the first argument, it will deliver shapes of the second argument. For example, "a.pull_interacting(b)" will deliver polygons of b which interact with polygons of a. As a side effect, the polygons of b will be stored hierarchically close to the polygons of a. This provides a way to "re-hierarchise" layout. The full class of methods and DRC functions involve: Region#pull_interacting, Region#pull_inside, Region#pull_overlapping and the same functions for DRC. "pull_interacting" is also available for the Edges class and edge layers in DRC. * Enhancement: Net tracer bug fix for heavily decomposed polygons The net tracing feature now can operate on heavily decompose polygons as well. Such layouts can be created by using a low max. vertex count on GDS write. The problem were small triangles with an area of 0.5 square DBU. * Enhancements: General fixes of deep DRC mode Some inconsistencies with merged/raw mode have been removed. Performance was enhanced in a few places. Multithreaded mode now works more reliably. * Enhancements/bugfixes: various LVS improvements The backtracking algorithm should work more reliable in cases of ambiguities and floating nets or pins. Performance should be better in some cases. LVS script structure is less rigid now. "cheats" to forcibly ignore hierarchy interactions for some cells (useful for SRAM layout for example). Still there is some work to do. 0.26 (2019-09-10): * Bugfix: %GITHUB%/issues/197 Python: Reimplemented methods are not getting called * Bugfix: %GITHUB%/issues/236 Crash when using "Delete all rulers" * Bugfix: %GITHUB%/issues/251 Register application exit handler in Ruby * Bugfix: %GITHUB%/issues/264 Python exceptions are redirected when PCell is used * Bugfix: %GITHUB%/issues/265 LEF file can't be read * Bugfix: %GITHUB%/issues/277 Region#min_coherence is not recognized by Region#sized * Bugfix: %GITHUB%/issues/293 File/Setup menu and dialog names are inconsistent * Bugfix: %GITHUB%/issues/294 Cannot display the right icon in Ubuntu * Bugfix: %GITHUB%/issues/302 Display issues with small cells * Bugfix: %GITHUB%/issues/305 CIF box positions are incorrect when a rotation is specified * Bugfix: %GITHUB%/issues/306 Round corners issue * Bugfix: %GITHUB%/issues/316 Text capture box has zero dimension for move * Bugfix: %GITHUB%/issues/318 Unable to disable a key binding * Bugfix: %GITHUB%/issues/321 Something's wrong with tip windows * Bugfix: %GITHUB%/issues/322 CIF, error when changing layer name while file open in KLayout * Bugfix: %GITHUB%/issues/330 DXF reader stalls * Bugfix: %GITHUB%/issues/337 Annotation: make "cross" styles available for scripts * Bugfix: %GITHUB%/issues/338 Unreadable Assistant document: QComboBox * Bugfix: Rare crash fixed with line width > 1 With line widths > 1, segfaults have been observed in some rare cases. * Enhancement: %GITHUB%/issues/287 Return an ObjectInstPath from the RecursiveShapeIterator * Enhancement: %GITHUB%/issues/317 "infix move" * Enhancement: %GITHUB%/issues/333 Ruby/Python automation of "auto measure" * Enhancement: %GITHUB%/issues/342 Ruler properties editor: swap points and snap to object buttons * Enhancement: %GITHUB%/issues/343 Dockable bookmark window * Enhancement: Hierarchical mode for DRC ("deep" keyword) DRC can be put into hierarchical mode with the "deep" statement at the beginning of the file. In hierarchical mode, most operations are performed in a hierarchical fashion - i.e. trying to maintain as much from the original hierarchy as possible. * Enhancements: Antenna checks for DRC DRC now got an antenna check feature. Netlist extraction is the basis for this feature. * Enhancement: Hierarchical mode in XOR tool and "strmxor" The XOR tool and the "strmxor" buddy tool provide switches to enable hierarchical mode. These options are considered experimental currently as XOR between two different layouts was not the implementation goal. * Enhancement: Netlist extraction KLayout supports extraction of netlists from a layout. This is the first step towards LVS. This feature includes an infrastructure providing netlist data structures (with Ruby/Python bindings), Netlist readers and writers (Spice format), device recognition and a netlist browser. * Enhancement: LVS The LVS feature is based on the netlist extraction capabilities. It adds netlist vs. netlist compare and the corresponding infrastructure. LVS is a new script environment that is based on the DRC feature and extends the DRC script language by LVS elements. * Enhancement: Command line option -mn to open netlist database * Enhancement: Net tracer "trace all nets" Based on the netlist extraction feature, an alternative mode for net tracing is provided. With "trace all nets", no a single but all nets are traced at once. Probing then happens in the final nets extracted rather than providing the seed shape. "Trace all nets" operates in hierarchical mode and therefore usually is faster and has a smaller memory footprint when extracting huge nets such as power nets. * Enhancement: Library browser The library browser is a dockable tool window which shows all libraries installed. Use drag and drop to place a library cell from the library browser in edit mode. * Enhancement: Layout query enhancements Layout queries (aka "Search and replace") now allow specification of Variables when using the script API. The performance of queries has been enhanced in the case of cell tree recursion. * Enhancement: Gridline colors The grid lines (or dots, depending on the style) can now be given different colors for axes, grid lines and the ruler inset. * Enhancement: Multiple files on import The "File/Import/Other files into current" feature now allows importing multiple files into the current layout. * Enhancement: Python standalone module KLayout supports production of standalone Python modules which provide the same features than the "pya" module but for use without the KLayout binary. If installed properly, "import klayout" will pull in all modules. "import klayout.db" will pull in the database part. Deployment on PyPI is supported. * Enhancement: Modal help dialog from modal windows When using Assistant links in the UI, the assistant now is shown as a modal window when called from a modal dialog. Before, the Assistant window wasn't usable in this case.
2020-10-17(cad/klayout) Updated 0.25.6 to 0.25.9mef2-8/+7
0.25.9 (2019-06-18): * Bugfix: %GITHUB%/issues/261 Issue with iterating Python sequences * Bugfix: %GITHUB%/issues/271 Chose cell coordinates on "Make Cell" * Bugfix: %GITHUB%/issues/272 Error message if trying to run macros in unsupported languages * Bugfix: %GITHUB%/issues/275 "Write without context" did not have an effect with OASIS * Bugfix: %GITHUB%/issues/276 LayerPropertiesNodeRef was not working as documented * Bugfix: %GITHUB%/issues/278 Lost reference with ObjectInstPath#shape * Bugfix: %GITHUB%/issues/281 Width and space violations are reported now also for the "kissing corners" case 0.25.8 (2019-02-23): * Bugfix: %GITHUB%/issues/234 Issues with LayoutView#save_image_with_options * Bugfix: %GITHUB%/issues/232 Crash on reading .lyp files with big stipple pattern * Bugfix: %GITHUB%/issues/228 Bug in Region#interact and DRC's "interact" function. * Bugfix: %GITHUB%/issues/225 Mirrored custom stipple pattern 0.25.7 (2019-01-09): * Bugfix: %GITHUB%/issues/200 Safer iteration of cell instances and shapes (with modifications of container during iteration) - in this case while flattening instances * Bugfix: %GITHUB%/issues/209 Reader options were grayed out in stream import feature. * Bugfix: %GITHUB%/issues/207 Basic.TEXT PCell was rounding bias a spacing values to integer multiples. * Bugfix: %GITHUB%/issues/203 A segfault was fixed that happend on certain platforms when closing the application (e.g. CentOS7). * Bugfix: (RBA/pya) Technology#load was not working Instead of load, the technology was saved.
2020-10-17(cad/gtkwave) Updated 3.3.106 to 3.3.107mef2-7/+7
3.3.107 30sep20 Fix left shift overflow in cvt_fpsudec for fixed point. Added Find First One trace type options. Fixed bug in Show-Change All Highlighted.
2020-10-12math/blas, math/lapack: Install interchangeable BLAS systembacon1-1/+2
Install the new interchangeable BLAS system created by Thomas Orgis, currently supporting Netlib BLAS/LAPACK, OpenBLAS, cblas, lapacke, and Apple's Accelerate.framework. This system allows the user to select any BLAS implementation without modifying packages or using package options, by setting PKGSRC_BLAS_TYPES in mk.conf. See mk/blas.buildlink3.mk for details. This commit should not alter behavior of existing packages as the system defaults to Netlib BLAS/LAPACK, which until now has been the only supported implementation. Details: Add new mk/blas.buildlink3.mk for inclusion in dependent packages Install compatible Netlib math/blas and math/lapack packages Update math/blas and math/lapack MAINTAINER approved by adam@ OpenBLAS, cblas, and lapacke will follow in separate commits Update direct dependents to use mk/blas.buildlink3.mk Perform recursive revbump
2020-10-07(cad/dinotrace) Add missing BUILD_DEPENDSmef1-1/+5
2020-10-06(cad/dinotrace-mode) Update 9.4c to 9.4f (9.4c was broken recently)mef2-11/+12
(pkgsrc) - githubify (upstream) .. Following info are the same as dinotrace properl * Dinotrace 9.4f 2019/10/26 **** Minor cleanups for github repository. * Dinotrace 9.4e 2016/11/24 **** Fix clang compiler warnings. * Dinotrace 9.4d 2014/09/12 **** Fix compiler warnings
2020-10-05(cad/dinotrace) Updated 9.4c to 9.4fmef2-13/+14
(pkgsrc) - githubify (upstream) * Dinotrace 9.4f 2019/10/26 **** Minor cleanups for github repository. * Dinotrace 9.4e 2016/11/24 **** Fix clang compiler warnings. * Dinotrace 9.4d 2014/09/12 **** Fix compiler warnings.
2020-10-03verilator: Update to 4.100ryoon3-10/+14
Changelog: * Verilator 4.100 2020-09-07 ** C++11 or newer compilers are now required. ** SystemC 2.3.0 or newer (SYSTEMC_VERSION >= 20111121) is now required. ** Support hierarchical Verilation (#2206). [Yutetsu TAKATSUKASA] **** Support (with limitations) class extern, class extends, virtual class. **** Support $urandom, $urandom_range without stability. **** Fix false DECLFILENAME on black-boxed modules (#2430). [Philipp Wagner] **** Fix naming of "id : begin" blocks. **** Fix class constructor error on assignments to const. **** Fix splitting eval functions with --output-split-cfuncs (#2368). [Geza Lore] **** Fix queues as class members (#2525). [nanduraj1] **** Add support for assume property. [Peter Monsson] * Verilator 4.040 2020-08-15 ** Version 4.040 is planned to be the final version that will support pre-C++11 compilers. Please move to C++11 or newer compilers. *** Fix arrayed interfaces, broke in 4.038 (#2468). [Josh Redford] **** Support $stable, $rose and $fell. (#2148) (#2501) [Peter Monsson] **** Support simple function localparams (#2461). [James Hanlon] **** Miscellaneous parsing error changes towards UVM support. **** Fix arrayed interfaces (#2469). [Josh Redford] **** Fix protect lib VCS warning. (#2479) [Julien Margetts] **** Fix combining different-width parameters (#2484). [abirkmanis] **** Fix protect-lib without sequential logic (#2492). [Yutetsu TAKATSUKASA] **** Fix V3Unknown from running with flat XML output (#2494). [James Hanlon] **** Fix non-32 bit conversion to float (#2495). [dsvf] **** Fix casting non-self-determined subexpressions (#2493). [phantom-killua] **** Fix SystemC net names (#2500). [Edgar E. Iglesias] **** Fix build with Bison 3.7 and newer (#2505). [Rupert Swarbrick] **** Fix slice of unpacked array (#2506) (#2507). [Yutetsu TAKATSUKASA] * Verilator 4.038 2020-07-11 ** Versions 4.038 and 4.040 are planned to be the final versions that will support pre-C++11 compilers. Please move to C++11 or newer compilers. *** Support VPI access to parameters and localparam. [Ludwig Rogiers] *** Support parsing (not elaboration, yet) of UVM. **** Add new UNSUPPORTED error code to replace most previous Unsupported: messages. **** With --bbox-unsup continue parsing on many (not all) UVM constructs. **** Support for-loop increments with commas. **** Support $swrite with arbitrary arguments. **** Support $writememb (#2450). [Fan Shupei] **** Fix OS X, Free BSD, and -m32 portability issues. [Geza Lore] **** Fix to flush FST trace on termination due to $stop or assertion failure. **** Fix part select error when multipling by power-of-two (#2413). [Conor McCullough] **** Fix division exception (#2460) [Kuoping Hsu] * Verilator 4.036 2020-06-06 ** OPT_FAST is now -Os by default. See the BENCHMARKING & OPTIMIZATION part of the manual if you experience issues with compilation speed. *** Configure now enables SystemC if it is installed as a system headers, e.g. with 'apt-get install systemc-dev'. *** Add --waiver-output flag that writes a verilator config file (.vlt) with waivers to the warnings emitted during a Verilator run. *** Support verilator_coverage --write-info for lcov HTML reports. Line Coverage now tracks all statement lines, not just branch lines. *** --output-split is now on by default. VM_PARALLEL_BUILDS is set by default iff the --output-split caused an actual file split to occur. --output-split-cfuncs and --output-split-ctrace now default to the value of --output-split. These changes should improve build times of medium and large designs with default options. User makefiles may require changes. *** The run-time library is now compiled with -Os by default. (#2369, #2373) **** Support multi channel descriptor I/O (#2190) [Stephen Henry] **** Support $countbits. (#2287) [Yossi Nivin] **** Support $isunbounded and parameter $. (#2104) **** Support unpacked array .sum and .product. **** Support prefix/postfix increment/decrement. (#2223) [Maciej Sobkowski] **** Fix FST tracing of little bit endian signals. [Geza Lore] **** Fix +: and -: on unpacked arrays. (#2304) [engr248] **** Fix $isunknown with constant Z's. **** Fix queues and dynamic array wide ops. (#2352) [Vassilis Papaefstathiou] * Verilator 4.034 2020-05-03 ** Add simplistic class support with many restrictions, see manual. (#377) ** Support IEEE time units and time precisions. (#234) Includes `timescale, $printtimescale, $timeformat. VL_TIME_MULTIPLIER, VL_TIME_PRECISION, VL_TIME_UNIT have been removed and the time precision must now match the SystemC time precision. To get closer behavior to older versions, use e.g. --timescale-override "1ps/1ps". ** Add --build to call make automatically. (#2249) [Yutetsu TAKATSUKASA] ** Configuring with ccache present now defaults to using it; see OBJCACHE. ** Fix DPI import/export to be standard compliant. (#2236) [Geza Lore] ** Add --trace-threads for general multithreaded tracing. (#2269) [Geza Lore] *** Add --flatten for use with --xml-only. (#2270) [James Hanlon] **** Greatly improve FST/VCD dump performance (#2244) (#2246) (#2250) (#2257) [Geza Lore] **** Support $ferror, and $fflush without arguments. (#1638) **** Support event data type (with some restrictions). **** Support $root. (#2150) [Keyi Zhang] **** Add error if use SystemC 2.2 and earlier (pre-2011) as is deprecated. **** Fix build of fast path tracing code to use OPT_FAST. (#2245) [Geza Lore] **** Fix arrayed instances connecting to slices. (#2263) [Don/engr248] **** Fix error on unpacked connecting to packed. (#2288) [Joseph Shaker] **** Fix logical not optimization with empty begin. (#2291) [Baltazar Ortiz] **** Fix reduction OR on wide data, broke in v4.026. (#2300) [Jack Koenig] **** Fix clock enables with bit-extends. (#2299) [Marco Widmer] **** Fix MacOs Homebrew by removing default LIBS. (#2298) [Ryan Clarke] * Verilator 4.032 2020-04-04 *** Add column numbers to errors and warnings. *** Add GCC 9-style line number prefix when showing source text for errors. *** Add setting VM_PARALLEL_BUILDS=1 when using --output-split. (#2185) *** Change --quiet-exit to also suppress 'Exiting due to N errors'. **** Suppress REALCVT for whole real numbers. **** Support split_var in vlt files. (#2219) [Marco Widmer] **** Fix parameter type redeclaring a type. (#2195) [hdzhangdoc] **** Fix VCD open with empty filename. (#2198) [Julius Baxter] **** Fix packages as enum base types. (#2202) [Driss Hafdi] **** Fix duplicate typedefs in generate for. (#2205) [hdzhangdoc] **** Fix MinW portability. (#2114) [Sean Cross] **** Fix assertions with unique case inside. (#2199) [hdzhangdoc] **** Fix implicit conversion of floats to wide integers.
2020-09-27(cad/tnt-mmtl) Fix build: Add BUILD_DEPENDS+= tex-epstopdf-pkg-[0-9]*mef1-1/+2
2020-09-27(cad/iverilog) Fix build, adapting to bison 3.7.1mef2-4/+4
2020-09-19(cad/gtkwave) Updated 3.3.104 to 3.3.106mef2-8/+7
3.3.105 01jul20 Fix bad (void) of is_closing in fstDestroyMmaps when using Cygwin or MinGW. Fix left shift overflow in cvt_fpsdec(). Add in missing file/translate/process filter for reals. Fix for bitvec merging in GHW so integers arrays can be viewed. Added Shift-Up/Down highlight with scroll in order to assist with left/right arrow based transition movement. Fix Show Wave Highlight so it is not dependent on Show Grid. Fix negative MSBs on VCD loaders for vectors. Fix getpwuid() null pointer exception. Add missing recursion case to treenamefix(). Fix lock/unlock misuse of pthread mutexes across threads. Examine env var $HOME for home dir on geteuid failure. 3.3.106 06jul20 Fix for GDK_KEY_* definitions missing in older GDK versions. Fix Shift-Up/Down highlight to traverse inside groups. Resync ghwlib to handled unbounded arrays.
2020-09-08*: use MASTER_SITE_PERL_CPANwiz1-2/+2
2020-09-04(cad/py-gds) Updated 1.5.2 to 1.6mef3-9/+11
### Version 1.6 (Aug 12, 2020) * Added support for element properties. * Added transformation support to `Cell.copy`. * Layer/datatype filtering in `get_polygons` for `Cell`, `CellReference` and `CellArray`. * Layer/datatype filtering in `LayoutViewer`. * Removed global cache `_bounding_boxes`. Only cells cache their bounding boxes. * Bug fixes (thanks Daniel Hwang for the contributions). * Bug fix in `Cell.copy` where the whole dependency tree would be copied on a deep copy creation.
2020-08-31*: bump PKGREVISION for perl-5.32.wiz6-11/+12
2020-08-18*: revbump for libsndfileleot3-6/+6
2020-08-17*: revbump after fontconfig bl3 changes (libuuid removal)leot22-43/+44
2020-06-16(cad/qcad) Add one patch for adapting to QT update, Re: QPainterPathmef2-1/+16
2020-06-16(cad/librecad) fix build after boost updatemef3-1/+32
2020-06-02Revbump for icuadam5-10/+10
2020-06-01(cad/kicad) Adapt to boost 1.73. (sorry adhoc fix, please correct me if ↵mef3-4/+21
wrong tks) - pkglint -F - add patch for #include <algorithm> It's been failing since 2020/05/07 of boost update ./20200507.0738/kicad-5.1.4nb5 ... ./20200523.0017/kicad-5.1.4nb6 ... ./20200530.0227/kicad-5.1.4nb6
2020-05-31Explicitly read files as unicode for Python 3. The content is convertedjoerg2-1/+15
using the system locale with Python 3.6 otherwise, falling back to ASCII.
2020-05-30gnucap: add build dependency on tex-ec and tex-cm-supermarkd1-2/+4
2020-05-30fastcap: also build dependenecy tex-cm-supermarkd1-1/+2
2020-05-30fastcap: add build dependenecy on tex-ecmarkd1-2/+3
2020-05-27*: reset MAINTAINER for fhajny on his requestwiz1-2/+2
2020-05-23cad/gtkwave: allow bashisms for macOSrillig1-2/+2
2020-05-22cad/openscad: remove nonexistent file from REPLACE_PYTHONrillig1-2/+1
2020-05-22revbump after updating security/nettleadam1-2/+2
2020-05-22cad/freehdl: remove nonexistent file from REPLACE_PERLrillig1-2/+1
2020-05-20mark packages that fail with -Werror=char-subscriptsrillig4-4/+32
These packages are susceptible to bugs when confronted with non-ASCII characters. See https://gcc.gnu.org/bugzilla/show_bug.cgi?id=94182. It takes some time to analyze and fix these individually, therefore they are only marked as "needs work".
2020-05-19Build as egg. Bump revision.joerg2-8/+8
2020-05-19Recursive revbump for json-c-0.14nia1-2/+2
2020-05-17cad/ghdl: skip portability checkrillig1-1/+3
2020-05-16fix pytest versioned dep.adam1-2/+2
2020-05-16py-MyHDL: pytest from versioned depensadam1-3/+3
2020-05-14Huge package, can take a long time to pkg_createjoerg1-1/+3
2020-05-14(cad/openscad) fix build with boost 1.73. PKGREVISION++ ? (not yet)mef2-1/+17
2020-05-06revbump after boost updateadam3-6/+6
2020-04-27py-gds: updated to 1.5.2adam3-18/+39
Version 1.5.2 (Feb 01, 2020) Added support for importing GDSII files containing BOX elements. Bug fix in GdsLibrary.extract (thanks collineps for finding the problem). Version 1.5 (Dec 20, 2019) New Cell.write_svg function to export an SVG image of the cell. New GdsLibrary.new_cell function to quickly create and add cells to a library. GdsLibrary.add can update references when a cell is overwritten. Added GdsLibrary.remove to allow cells to be properly removed from libraries. Added GdsLibrary.rename_cell to rename cells in libraries. Added GdsLibrary.replace_references to easily replace referenced cells in libraries. GdsLibrary.add can add dependencies recursively. Iterating over GdsLibrary objects yields all its cells. Iterating over Cell objects yield all its polygons, paths, labels and references. Breaking change to *.to_gds functions in order to improve write efficiency (this should not be a problem for most users, since gdspy.write_gds and Cell.write_gds remain the same). Breaking change: renamed GdsLibrary.cell_dict to GdsLibrary.cells. Deprecated: gdspy.current_library, gdspy.write_gds, gdspy.fast_boolen, GdsLibrary.extract. Bug fixes and better tests for FlexPath and RobustPath. Version 1.4.3 (Nov 11, 2019) Bug fix for FlexPath and RobustPath references. Version 1.4.2 (Oct 01, 2019) Bug fix in FlexPath. Version 1.4.1 (Sep 20, 2019) Bug fixes (thanks to DerekK88 and Sequencer for the patches). Version 1.4 (May 18, 2019) Revised documentation. New FlexPath and RobustPath classes: more efficient path generation when using the original GDSII path specification. New Curve class: SVG-like polygon creation. Added PolygonSet.mirror (thanks to Daan Waardenburg for the contribution). Added Path.bezier to create paths based on Bézier curves. Added Path.smooth to create paths based on smooth interpolating curves. Added get_gds_units to get units used in a GDSII file without loading. Added get_binary_cells to load only the binary GDSII representation of cell from a file. Added argument tolerance to Round, Path.arc, Path.turn, and Path.parametric to automatically control the number of points in the final polygons. Added argument binary_cells to GDSII writing functions to support get_binary_cells. Added argument rename_template to GdsLibrary.read_gds for flexible cell renaming (thanks to @yoshi74ls181 for the contribution). Changed return value of slice to avoid creating empty PolygonSet. Added argument timestamp to GDSII writing functions. Improved Round to support creating ellipses. Added support for unlimited number of points per polygon. Added support for BGNEXTN and ENDEXTN when reading a GDSII file. Polygon creation warnings are now controlled by poly_warnings. Incorrect anchor in Label now raises an error, instead of emitting a warning. Added correct support for radius in PolygonSet.fillet on a per-vertex basis. Speed improvements in GDSII file generation (thanks to @fbeutel for the contribution) and geometry creation. Font rendering example using matplotlib (thanks Hernan Pastoriza for the contribution). Expanded test suite. Version 1.3.2 (Mar 14, 2019) Small fix for building on Mac OS X Mojave.
2020-04-26There are two common ways to select the "java" executable in Pkgsrc.dsainty1-2/+3
One is via "${JAVA_BINPREFIX}-java", and one is via "${PKG_JAVA_HOME}/bin/java". The "${JAVA_BINPREFIX}-java" way relies on an appropriately named executable being present in the built-in JRE if you are using the built-in - something with a "-java" suffix. That just doesn't exist in either the MacOS Oracle JRE install or the JDK install. So switch to "${PKG_JAVA_HOME}/bin/java" instead, which works (but does require careful quoting to allow for spaces under MacOS). Bump PKGREVISION.
2020-04-12Recursive revision bump after textproc/icu updateadam4-7/+8
2020-04-07update to version 3.24.3.0plunky5-42/+56
ChangeLog: 3.24.3 (2020/03/17) Edit > Application Preferences > Graphics View: Behaviour: Configurable tolerance for double-click to select contour Appearance: Configurable default lineweight for "Default" lineweight value View > Property Editor: Allow editing of values in imperial formats "Architectural" and "Engineering" Bug fixes: FS#2030 - Cannot snap to points of mirrored blocks FS#2032 - Draw > Circle > Three Tangents: Cannot select third tangent FS#2035 - Linetype scale in viewports FS#2036 - Arc rendering in block context FS#2038 - Cannot snap arc / circle reference points inside blocks FS#2051 - Window selection of rotated and aligned dimensions with large extension line offset FS#2053 - Dots in line patterns not visible at extreme coordinates FS#2054 - Misc > Modify > Flatten Drawing to 2D 3.24.2 (2020/01/13) Bug fixes: FS#2027 - File > Print: Minimum line weight for printing is 0.01 drawing units 3.24.1 (2020/01/02) Bug fixes: FS#2017 - Rendering of arcs in blocks with scale factor > 1 FS#2020 - Edit > Paste: Wrong position for block references with different drawing units
2020-04-06fix build, add missing header (upstream patch)plunky2-1/+16