summaryrefslogtreecommitdiff
path: root/cad
AgeCommit message (Collapse)AuthorFilesLines
2020-05-19Build as egg. Bump revision.joerg2-8/+8
2020-05-19Recursive revbump for json-c-0.14nia1-2/+2
2020-05-17cad/ghdl: skip portability checkrillig1-1/+3
2020-05-16fix pytest versioned dep.adam1-2/+2
2020-05-16py-MyHDL: pytest from versioned depensadam1-3/+3
2020-05-14Huge package, can take a long time to pkg_createjoerg1-1/+3
2020-05-14(cad/openscad) fix build with boost 1.73. PKGREVISION++ ? (not yet)mef2-1/+17
2020-05-06revbump after boost updateadam3-6/+6
2020-04-27py-gds: updated to 1.5.2adam3-18/+39
Version 1.5.2 (Feb 01, 2020) Added support for importing GDSII files containing BOX elements. Bug fix in GdsLibrary.extract (thanks collineps for finding the problem). Version 1.5 (Dec 20, 2019) New Cell.write_svg function to export an SVG image of the cell. New GdsLibrary.new_cell function to quickly create and add cells to a library. GdsLibrary.add can update references when a cell is overwritten. Added GdsLibrary.remove to allow cells to be properly removed from libraries. Added GdsLibrary.rename_cell to rename cells in libraries. Added GdsLibrary.replace_references to easily replace referenced cells in libraries. GdsLibrary.add can add dependencies recursively. Iterating over GdsLibrary objects yields all its cells. Iterating over Cell objects yield all its polygons, paths, labels and references. Breaking change to *.to_gds functions in order to improve write efficiency (this should not be a problem for most users, since gdspy.write_gds and Cell.write_gds remain the same). Breaking change: renamed GdsLibrary.cell_dict to GdsLibrary.cells. Deprecated: gdspy.current_library, gdspy.write_gds, gdspy.fast_boolen, GdsLibrary.extract. Bug fixes and better tests for FlexPath and RobustPath. Version 1.4.3 (Nov 11, 2019) Bug fix for FlexPath and RobustPath references. Version 1.4.2 (Oct 01, 2019) Bug fix in FlexPath. Version 1.4.1 (Sep 20, 2019) Bug fixes (thanks to DerekK88 and Sequencer for the patches). Version 1.4 (May 18, 2019) Revised documentation. New FlexPath and RobustPath classes: more efficient path generation when using the original GDSII path specification. New Curve class: SVG-like polygon creation. Added PolygonSet.mirror (thanks to Daan Waardenburg for the contribution). Added Path.bezier to create paths based on Bézier curves. Added Path.smooth to create paths based on smooth interpolating curves. Added get_gds_units to get units used in a GDSII file without loading. Added get_binary_cells to load only the binary GDSII representation of cell from a file. Added argument tolerance to Round, Path.arc, Path.turn, and Path.parametric to automatically control the number of points in the final polygons. Added argument binary_cells to GDSII writing functions to support get_binary_cells. Added argument rename_template to GdsLibrary.read_gds for flexible cell renaming (thanks to @yoshi74ls181 for the contribution). Changed return value of slice to avoid creating empty PolygonSet. Added argument timestamp to GDSII writing functions. Improved Round to support creating ellipses. Added support for unlimited number of points per polygon. Added support for BGNEXTN and ENDEXTN when reading a GDSII file. Polygon creation warnings are now controlled by poly_warnings. Incorrect anchor in Label now raises an error, instead of emitting a warning. Added correct support for radius in PolygonSet.fillet on a per-vertex basis. Speed improvements in GDSII file generation (thanks to @fbeutel for the contribution) and geometry creation. Font rendering example using matplotlib (thanks Hernan Pastoriza for the contribution). Expanded test suite. Version 1.3.2 (Mar 14, 2019) Small fix for building on Mac OS X Mojave.
2020-04-26There are two common ways to select the "java" executable in Pkgsrc.dsainty1-2/+3
One is via "${JAVA_BINPREFIX}-java", and one is via "${PKG_JAVA_HOME}/bin/java". The "${JAVA_BINPREFIX}-java" way relies on an appropriately named executable being present in the built-in JRE if you are using the built-in - something with a "-java" suffix. That just doesn't exist in either the MacOS Oracle JRE install or the JDK install. So switch to "${PKG_JAVA_HOME}/bin/java" instead, which works (but does require careful quoting to allow for spaces under MacOS). Bump PKGREVISION.
2020-04-12Recursive revision bump after textproc/icu updateadam4-7/+8
2020-04-07update to version 3.24.3.0plunky5-42/+56
ChangeLog: 3.24.3 (2020/03/17) Edit > Application Preferences > Graphics View: Behaviour: Configurable tolerance for double-click to select contour Appearance: Configurable default lineweight for "Default" lineweight value View > Property Editor: Allow editing of values in imperial formats "Architectural" and "Engineering" Bug fixes: FS#2030 - Cannot snap to points of mirrored blocks FS#2032 - Draw > Circle > Three Tangents: Cannot select third tangent FS#2035 - Linetype scale in viewports FS#2036 - Arc rendering in block context FS#2038 - Cannot snap arc / circle reference points inside blocks FS#2051 - Window selection of rotated and aligned dimensions with large extension line offset FS#2053 - Dots in line patterns not visible at extreme coordinates FS#2054 - Misc > Modify > Flatten Drawing to 2D 3.24.2 (2020/01/13) Bug fixes: FS#2027 - File > Print: Minimum line weight for printing is 0.01 drawing units 3.24.1 (2020/01/02) Bug fixes: FS#2017 - Rendering of arcs in blocks with scale factor > 1 FS#2020 - Edit > Paste: Wrong position for block references with different drawing units
2020-04-06fix build, add missing header (upstream patch)plunky2-1/+16
2020-03-26Fix racy bison use. Rename patch to match patched file.joerg7-29/+81
2020-03-18Not really C++11 ready. Don't define bool/true/false for C++.joerg3-3/+31
2020-03-18verilator: Update to 4.030ryoon3-26/+43
Changelog: Verilator 4.030 2020-03-08 Add split_var metacomment to assist UNOPTFLAT fixes, #2066. [Yutetsu TAKATSUKASA] Add support for $dumpfile and $dumpvars, #2126. [Alexander Grobman] Add support for dynamic arrays, #379. Add +verilator+noassert flag to disable assertion checking. [Tobias W\303\266lfel] Add check for assertOn for asserts, #2162. [Tobias W\303\266lfel] Add --structs-packed for forward compatibility. Fix genblk naming with directly nested generate blocks, #2176. [Alexander Grobman] Implement $displayb/o/h, $writeb/o/h, etc, #1637. Use gcc -Os in examples instead of -O2 for better average performance. Fix undeclared VL_SHIFTR_WWQ, #2114. [Alex Solomatnikov] Verilator 4.028 2020-02-08 Support attributes (public, isolate_assignments, etc.) in configuration files. Add -match to lint_off to waive warnings. [Philipp Wagner] Link Verilator binary partially statically, #2146. [Geza Lore] Verilation speed improvements, #2133, #2138. [Geza Lore] Support libgoogle-perftools-dev's libtcmalloc if available, #2137. [Geza Lore] Support $readmem/$writemem with assoc arrarys, #2100. [agrobman] Support type(expression) operator and $typename, #1650. Support left justified $display, #2101. [Pieter Kapsenberg] Support string character access via indexing. Support enum.next(k) with constant k > 1, #2125. [Tobias Rosenkranz] Support parameter access from arrays of interfaces, #2155. [Todd Strader] Add parameter values in XML. #2110. [Pieter Kapsenberg] Add loc column location in XML (replaces fl), #2122. [Pieter Kapsenberg] Add error on misused define. [Topa Tota] Add parameter to set maximum signal width, #2082. [\303\230yvind Harboe] Add warning on genvar in normal for loop, #2143. [yurivict] Fix VPI scope naming for public modules. [Nandu Raj] Fix FST tracing of enums inside structs. [fsiegle] Fix WIDTH warning on Fix OpenSolaris issues, #2154. [brancoliticus] Verilator 4.026 2020-01-11 Docker images are now available for Verilator releases. Support bounded queues. Support implication operator "|->" in assertions, #2069. [Peter Monsson] Support string compare, ato*, etc methods, #1606. [Yutetsu TAKATSUKASA] Support immediate cover statements. Ignore `uselib to end-of-line, #1634. [Frederic Antonin] Update FST trace API for better performance. Add vpiTimeUnit and allow to specify time as string, #1636. [Stefan Wallentowitz] Add error when `resetall inside module (IEEE 2017-22.3). Add cleaner error on version control conflicts in sources. Fix little endian cell ranges, #1631. [Julien Margetts] Fix queue issues, #1641, #1643. [Peter Monsson, Stefan Wallentowitz] Fix strcasecmp for windows, #1651. [Kuba Ober] Fix disable iff in assertions. Closes #1404. [Peter Monsson] Fix huge case statement performance. Closes #1644. [Julien Margetts] Fix tracing -1 index arrays. Closes #2090. [Yutetsu Takatsukasa] Fix expand optimization slowing --lint-only. Closes #2091. [Thomas Watts] Fix %{number}s with strings. #2093. [agrobman] Fix shebang breaking some shells. Closes #2067. [zdave] Verilator 4.024 2019-12-08 Support associative arrays (excluding [*] and pattern assignments), bug544. Support queues (excluding {} notation and pattern assignments), bug545. Add +verilator+error+limit to see more assertion errors. [Peter Monsson] Support string.toupper and string.tolower. Support $rewind and $ungetc. Support shortreal as real, with a SHORTREAL warning. Add -Wpedantic and -Wno-context for compliance testing. Add error on redefining preprocessor directives. [Piotr Binkowski] Support $value$plusargs float and shorts, bug1592, bug1619. [Garrett Smith] Fix gate lvalue optimization error, bug831. [Jonathon Donaldson, Driss Hafdi] Fix color assertion on empty if, bug1604. [Andrew Holme] Fix for loop missing initializer, bug1605. [Andrew Holme] Fix hang on concat error, bug1608. [Bogdan Vukobratovic] Fix VPI timed callbacks to be one-shot, pull5. [Matthew Ballance] Fix // in filenames, bug1610. [Peter Nelson] Fix $display("%p") to be closer to IEEE. Fix labels on functions with returns, bug1614. [Mitch Hayenga] Fix false unused message on __Vemumtab, msg3180. [Tobias Rosenkranz] Fix assertion on dotted parameter arrayed function, bug1620. [Rich Porter] Fix interface reference tracing, bug1595. [Todd Strader] Fix error on unpacked concatenations, bug1627. [Driss Hafdi] Verilator 4.022 2019-11-10 Add --protect-lib, bug1490. [Todd Strader] Add cmake support, bug1363. [Patrick Stewart] Examples have been renamed. Add --protect-ids to obscure information in objects, bug1521. [Todd Strader] Add --trace-coverage. Add --xml-output. Support multithreading on Windows. [Patrick Stewart] Suppress 'command failed' on normal errors. Support some unpacked arrays in parameters, bug1315. [Marshal Qiao] Add interface port visibility in traces, bug1594. [Todd Strader] Increase case duplicate/incomplete to 16 bit tables, bug1545. [Yossi Nivin] Support quoted arguments in -f files, bug1535. [Yves Mathieu] Optimize modulus by power-of-two constants, and masked conditionals. Fix detecting missing reg types, bug1570. [Jacko Dirks] Fix multithreaded yield behavior when no work. [Patrick Stewart] Fix bad-syntax crashes, bug1548, bug1550-1553, bug1557-1560, bug1563, bug1573-1577, bug1579, bug1582-1591. [Eric Rippey] Fix false CMPCONST/UNSIGNED warnings on "inside", bug1581. [Mitch Hayenga] Verilator 4.020 2019-10-06 Support $fseek, $ftell, $frewind, bug1496. [Howard Su] Add --public-flat-rw, bug1511. [Stefan Wallentowitz] Support vpiModule, bug1469. [Stefan Wallentowitz] Make Syms file honor --output-split-cfuncs, bug1499. [Todd Strader] Fix make test with no VERILATOR_ROOT, bug1494. [Ahmed El-Mahmoudy] Fix error on multidimensional cells, bug1505. [Anderson Ignacio Da Silva] Fix config_rev revision detection on old versions. Fix false warning on backward indexing, bug1507. [Hao Shi] Fix vpiType accessor, bug1509, bug1510. [Stefan Wallentowitz] Fix ugly error on interface misuse, bug1525. [Bogdan Vukobratovic] Fix misc bad-syntax crashes, bug1529-bug1533. [Eric Rippey] Fix case statements with strings, bug1536. [Philipp Wagner] Verilator 4.018 2019-08-29 When showing an error, show source code and offer suggestions of replacements. When showing an error, show the instance location, bug1305. [Todd Strader] Add --rr, bug1481. [Todd Strader] Change MULTITOP to warning to help linting, see manual. Add XSim support to driver.pl, bug1493. [Todd Strader] Show included-from filenames in warnings, bug1439. [Todd Strader] Fix elaboration time errors, bug1429. [Udi Finkelstein] Fix not reporting some duplicate signals/ports, bug1462. [Peter Gerst] Fix not in array context on non-power-of-two slices, msg2946. [Yu Sheng Lin] Fix system compile flags injection. [Gianfranco Costamagna] Fix enum values not being sized based on parent, bug1442. [Dan Petrisko] Fix internal error on gate optimization of assign, bug1475. [Oyvind Harboe] Add --dpi-hdr-only, bug1491. [Todd Strader]
2020-03-14oce: fix option check in bl3.mk filewiz1-2/+5
2020-03-10librsvg: update bl3.mk to remove libcroco in rust casewiz14-28/+28
recursive bump for the dependency change
2020-03-08*: recursive bump for libffiwiz15-29/+30
2020-02-18Revert intentional commit.joerg2-4/+4
2020-02-18*** empty log message ***joerg2-4/+4
2020-02-15(cad/xcircuit) Updated to 3.10.17. ChangeLog unknownmef2-7/+7
2020-02-15(cad/gtkwave) Updated to 3.3.104mef2-7/+7
3.3.104 24jan20 Added support for loading .vf files (provided FSDB reader libraries are enabled). Added support for dumping variable types in vcd saveer, not just using "wire" for non-reals/strings. Fix for uninitialized values at time 0 for FST, FSDB loaders.
2020-01-26all: migrate homepages from http to httpsrillig15-31/+31
pkglint -r --network --only "migrate" As a side-effect of migrating the homepages, pkglint also fixed a few indentations in unrelated lines. These and the new homepages have been checked manually.
2020-01-26all: migrate some SourceForge homepage URLs back from https to httprillig11-22/+22
https://mail-index.netbsd.org/pkgsrc-changes/2020/01/18/msg205146.html In the above commit, the homepage URLs were migrated from http to https, assuming that SourceForge would use the same host names for both http and https connections. This assumption was wrong. Their documentation at https://sourceforge.net/p/forge/documentation/Custom%20VHOSTs/ states that the https URLs use the domain sourceforge.io instead. To make the homepages from the above commit reachable again, pkglint has been extended to check for reachable homepages. This check is only enabled when the --network command line option is given. Each of the homepages that referred to https://$project.sourceforge.net before was migrated to https://$project.sourceforge.io (27), and if that was not reachable, to the fallback URL http://$project.sourceforge.net (163).
2020-01-18all: migrate several HOMEPAGEs to httpsrillig13-26/+26
pkglint --only "https instead of http" -r -F With manual adjustments afterwards since pkglint 19.4.4 fixed a few indentations in unrelated lines. This mainly affects projects hosted at SourceForce, as well as freedesktop.org, CTAN and GNU.
2020-01-18*: Recursive revision bump for openssl 1.1.1.jperkin5-10/+10
2020-01-14Upgrade cad/ng-spice to v31.hauke3-23/+11
Changes are probably many. From upstream's changelog: 2017-08-25 h_vogt This ChangeLog is no longer maintained. Starting with ngspice-26, for code changes please refer to the git repository at https://sourceforge.net/p/ngspice/ngspice/ci/master/tree/. Especially the 'history' button on the top of the page will give all the change log information.
2020-01-12*: Recursive revbump from devel/boost-libsryoon3-4/+6
2019-12-29drop PLIST var handling, as these are not installed by package nowplunky1-11/+1
2019-12-29do not copy or reinstall Qt plugins, allow QCAD to find them directlyplunky5-55/+36
2019-12-29does not use qt5-qttoolsplunky1-2/+1
2019-12-29install icons as qcad.???plunky2-12/+19
2019-12-29Update to QCAD 3.24.0.1, with ChangeLog:plunky8-126/+229
3.24.0.1: Bug fixes: FS#2017 - Rendering of arcs in blocks with scale factor > 1 3.24.0 (2019/12/19): Draw: Ellipse > Inscribed in Quadrilateral: Create circle if appropriate Performance: Use of multiple CPU cores for display Modify: Scale: Improved support for non-uniform scaling Blocks: Improved support for non-uniform scaling Snap > Reference: Snap to reference point of block reference for block reference entities Edit > Application Preferences: File > Open/Save: Add preference to override "last saved by" file information for DXF/DWG export Misc > Script Examples > Mathematics > FlexPainter Paint complex patterns along existing lines and curves (see forum announcement) macOS 10.15: Update to Qt 5.13.2 Fix mouse cursor display Linux: Provide packages linked against Qt 5.13 Wayland support Fix keyboard layout switching under Wayland Bug fixes: FS#1931 - File > SVG Export: hatch arc interpolation FS#1933 - File > Advanced SVG export: file overwrite check doesn't use updated filename FS#1942 - Draw > Circle > Two tangents and point: fails for case with point on bisector FS#1946 - CAM > Cam Legacy Export: Cut inner paths before outer paths has no effect FS#1948 - Modify > Offset (through Point): Point orthogonal to end point of line segment not accepted FS#1949 - Modify > Break out: Leftover (zero length line) after using tool on ray FS#1950 - File > Save As: File dialog offers unsupported export formats (DWG R9, R10, R2.6, R2.5) FS#1962 - CAM > Drill Toolpaths: Cannot be edited / updated from source entities FS#1973 - File > SVG Export: polylines with large arc segments (> 180°) not exported correctly FS#1975 - RPolyline::getOrientation: Wrong orientation for polylines with large arcs FS#1979 - View > Layer List: icon spacing FS#1984 - Block > Create Block from Selection: Slow for large number of entities FS#1985 - Hatch dots are rendered as lines with fixed lenght of 0.02 units FS#1986 - Page Tags set as default not displayed FS#1987 - File > SVG Export: full circle hatch FS#1990 - Layer > Duplicate Layer: fails for layer "0" FS#1991 - Modify > Divide: Preview indicator fails on infinitive lines FS#1993 - Draw > Insert Bitmap: relative zero point not set FS#1996 - File > Save: DXF header variable CECOLOR changed FS#2000 - CAM > Nesting: misleading "Sheet added" confirmation FS#2001 - CAM > Nesting: Alignment fails FS#2002 - Draw > Viewport > Add Viewport: Hatch is offset incorrectly in Viewport FS#2007 - bbox.bat cannot write log file FS#2012 - Draw > Hatch > Hatch from Selection: block transforms not taken into account
2019-12-28tnt-mmtl: update to 1.2.2nb13.wiz2-24/+3
Something changed in the dependencies, breaking the build of some png files which are now not installed any longer. Remove them from the PLIST and bump PKGREVISION.
2019-12-07ensure debug binaries are not built, by using target 'release'plunky1-17/+5
instead of 'all'
2019-11-16(cad/gtkwave) Updated to 3.3.103mef2-7/+7
3.3.103 03nov19 Fix MAP_FAILED missing for MinGW. Fix to make the coloration red on 'u' traces. (Bug from Dinotrace-like rendering in 3.3.96.) Typo fix on missing group start on vectors.
2019-11-13cad/qcad: Add workaround for debug/release library confusiongdt1-2/+17
Avoid name clash between release-mode and debug-mode libraries so that "libqcaddxf.so" is not rejected by the plugin loader. Patch from John D. Baker via pkgsrc-users.
2019-11-11librecad: Needs qt5-qttoolsnia1-1/+3
2019-11-10(cad/xcircuit) Updated 3.9.72 to 3.10.13, ChangeLog for recent unknownmef3-133/+137
2019-11-10(cad/gtkwave) Updated to 3.3.102mef2-7/+7
3.3.102 21sep19 Remove redundant TREE_VHDL_ST_PACKAGE from SST exclude. Added addCommentTracesFromList tcl command from user patch. Harden savefile loader for missing group start on vectors. Preliminary VHDL support for wlf2vcd. Add missing return value checks on mmap() in FST writer.
2019-10-29openscad: Switch to qt5kamil1-5/+5
2019-10-27qcad: Handle platforms without EGL support (NetBSD 8...)nia2-4/+14
2019-10-26librecad: Upgrade to 2.2.0 rc1kamil14-135/+118
Exact changelog is unknown, but there is Qt4 -> Qt5 switch. Upstream changelog ================== 2.2.0 Release Candidate There were more than 250 commits since 2.2.0-alpha, thus it was overdue to get rid of the alpha in the tag now. With some more improvements in the last couple weeks, we switch directly to Release Candidate as the response from community is, that the master branch is stable. There are only some minor changes in the line before the final 2.2.0 release.
2019-10-17(cad/gtkwave) Updated 3.3.100 to 3.3.101mef2-8/+7
3.3.101 08may19 Added gtkwave::getFacDir, gtkwave::getFacVtype, and gtkwave::getFacDtype Tcl accessor functions that function similar to gtkwave::getFacName. Pair $end with $dumpvars in VCD writers. Make %.16g printing in baseconvert.c more resistant to power of 10 roundoff errors. Remove register keyword where applicable as is deprecated. Added --saveonexit gtkwave command line option.
2019-10-05Enable py-PyRTLryoon1-1/+2
2019-10-05cad/py-PyRTL: import py37-PyRTL-0.8.7ryoon4-0/+162
PyRTL provides a collection of classes for pythonic register-transfer level design, simulation, tracing, and testing suitable for teaching and research. Simplicity, usability, clarity, and extensibility rather than performance or optimization is the overarching goal.
2019-10-02Remove a whitespaceryoon1-1/+1
2019-09-19qcad: Fix building with unrecognized (newer) Qt versions.nia6-147/+72
It's using the same script bindings for every Qt version past 5.5.0, so the version check isn't actually necessary at this point. Just pretend to be 5.5.0 and install those bindings. While here, install icons and avoid installing .gitignore files. Bump PKGREVISION.
2019-09-18Recursive revbump from audio/pulseaudioryoon2-4/+4