summaryrefslogtreecommitdiff
path: root/cad
AgeCommit message (Collapse)AuthorFilesLines
2021-10-07cad: Remove SHA1 hashes for distfilesnia63-139/+63
2021-09-29revbump for boost-libsadam3-4/+6
2021-09-23openscad: Use rm instead of unlink.nia2-1/+17
2021-09-23openscad: uses hicolor-icon-themenia1-1/+2
2021-09-19cad/qcad: Build and install libqcadscriptsgdt4-4/+22
Despite not causing a failure to build and package, qcad's build was failing to find libqcadcore while building libqcadscripts. This commits adds a patch, similar to many others already present, that adds -L ../core and then installs the library (and then the expected PLIST change). It remains a mystery why the build ever worked; the makefile I get seems to ignore the failed build, which seems wrong. The bulk builds have worked. But Mustafa reports a build failure, and I find that failure in my log, even if it is non-fatal. Reported by Mustafa Dogan.
2021-09-11(cad/gtkwave) Updated 3.3.110 to 3.3.111mef2-7/+7
3.3.111 01sep21 Fix in fstapi for read start limit time. Fix xml2stems when begin blocks are in functions. Skip over decimal point in timescale in viewer.
2021-08-16(cad/gtkwave) Updated to 3.3.110mef3-10/+8
3.3.110 22may21 Removed ghwdump and ghwdump.1 from the distribution (now provided with GHDL). Minor scan-build fixes.
2021-08-15(cad/klayout) update from 0.27 to 0.27.3 (by Atsushi Toyokura at wip)mef3-60/+59
0.27.3 (2021-07-23): * Enhancement: DRC - more filters for edge pair collections As there are now: with(out)_area and with(out)_internal_angle * Bugfix: %GITHUB%/issues/846 Crash in netlist browser * Bugfix: %github%/issues/851 KLayout stalls in net browser net highlighting when net shapes contain texts * Bugfix: %GITHUB%/issues/854 Resistor Device extractor extracts W and L 2 times too large * Bugfix: %GITHUB%/issues/856 LVS: Resistor with bulk: bulk terminal swaps with A terminal * Bugfix: %GITHUB%/issues/858 "+" line continuation in Spice reader only effective when at beginning of line * Bugfix: %GITHUB%/issues/859 Technology was not assigned on new layout * Bugfix: %GITHUB%/issues/864 Shapes#copy_shapes does not support undo/redo * Bugfix: %GITHUB%/issues/866 Probe net not working for floating subcircuits in hierarchical net tracer * Bugfix: %GITHUB%/issues/867 Macro editor freeze after search next * Bugfix: %GITHUB%/issues/871 Application stalls with 'draw only border instances' mode with AREFs * Bugfix: %GITHUB%/issues/875 Drawing glitch * Enhancement: LVS features - "extract_devices" returns the device class object - Device class object can be used to enable parameters (make them primary) - Spice writer delegate enabled in LVS for customization of output - "blank_circuit" specifies circuits as black boxes and skips compare - Better propagation of symmetric nets through swappable pins - "tolerance" and "join_symmetric_nets" can be used anywhere in the LVS script now - "same_nets!" (with exclamation mark) for strong matching of nets - "same_nets" accepts glob pattern now * Enhancements: RBA/pya - Device#net_for_terminal with terminal name - Circuit#nets_by_name with glob pattern - Netlist#is_case_sensitive?, Netlist#case_sensitive= - Netlist#nets_by_name to get nets from pattern - CellView#context_trans and CellView#context_dtrans * Enhancement: Ruby 3.0 basic enabling * Enhancement: DRC "extent" accepts a cell name pattern now to deliver cell bounding boxes * Bugfix: reverted change of #796 DXF version is back to AC1006 which seems to be more compatible with most consumers of DXF files. Using 1016 AC1014 seems to require much more meta information I cannot provide without deeper insight into the DXF structure.
2021-07-30kicad-*: update to 5.1.10tnn15-59/+64
pkgsrc changes: - update HOMEPAGE - switch to .tar.bz2 where available (saves ~200 MB in packages3d) upstream changes: - bugfixes
2021-07-30*: Recursive revbump from audio/pulseaudio-15.0ryoon3-6/+6
2021-07-21Bump PKGREVISION for affected packages by changing default Ruby's version.taca1-1/+2
2021-07-01Ensure PYTHON_VERSIONS_INCOMPATIBLE is set before pyversion.mk is includednia1-3/+3
2021-06-29py-numpy: "Python version >= 3.7 required."nia1-1/+3
2021-06-18openscad: Needs itstoolnia1-2/+2
2021-06-14*: Stop listing OS names in package descriptionsnia1-2/+1
2021-06-07dinotrace: restrict to web2c>=2020 instead of -2020*wiz1-2/+2
2021-06-03(cad/gtkwave) Updated 3.3.107 to 3.3.109mef2-8/+7
3.3.108 30dec20 Fix VZT writer crash when dumpoff is invoked before first timestep. Fix convert_ffo() that scanned in wrong direction. Fix use after free in fstapi.c. 3.3.109 10apr21 gtk_ctree_node_moveto bugfix in SST. MSVC compiler fix for fstapi. Update xml2stems and rtlbrowse to support generate.
2021-05-29(cad/layout) Udated 0.26.11 to 0.27 based on wip/klayout by Atsushi Toyokuramef4-75/+63
0.27 (2021-05-02): * Enhancements: Numerous new functions for the RBA and pya API Some highlights: * "Texts" for text collections (similar for Edges/EdgePairs/Region) * New modes for blending layout files - see GitHub issue #666 * New function Cell#transform - see GitHub issue #598 * New functions for Image (read, write, clear) * New functions for Region and Edges correlating with the new DRC features * Multi-cell hierarchical copy/move of trees - will maintain shared instances * RBA: iterators are now automatically made available as Enumerable * All functions having a void return specification now return self (allows chaining) * More functions for manipulating selections (LayoutView#select_all etc.) * Spline interpolation code available in new "Utils" namespace * QByteArray support, mapping to Python byte arrays * MainWindow#set_key_bindings, MainWindow#get_key_bindings, MainWindow#set_menu_items_hidden etc. * New class RecursiveInstanceIterator (similar to RecursiveShapeIterator, but acts on instances) * Deep vs. flat operations do not always flatten out now (flat vs. deep does however) * Global transformations for inputs * Enhancements: New DRC features Some highlights: * Better support for texts - "text layers" * Combined AND+NOT feature for separating regions into inside and outside part * "max_vertex_count" and "max_area_ratio" configuration options * "forget" for memory optimization * Shielding is optional now for some DRC functions * "interact" now has a count filter * In verbose more, figure counts and more information is printed * Generic DRC function and complex DRC operations: for details search "Universal DRC" in documentation * "not_opposite" and "rect_filter" options for some DRC functions * "enclosing" (selects polygons entirely enclosing others) * New filters for polygons: squares, non_squares, area ratio, bbox aspect ratio * More intuitive specification of projection limits ("projecting < 2.um") * "with_holes" and "without_holes", also with count * Density check is included now ("with_densitiy", "without_density") * "split_..." functions give interacting/non-interacting sets in one step * Better support for generic transformations as Matrix2d and Matrix3d * "fill" and "fill_with_left" functions for dummy fill * NO "connected" feature yet. Sorry. Had to drop it to finish this release. * Enhancements: new LVS features Some highlights: * Improved netlist and LVS result browser (infinite-depth browsing) * Improved circuit match algorithm * Case insensitive handling of object names for SPICE netlists * Probe feature fixed in flat mode * "connect_explicit": for details search "connect_explicit" in documentation * Enhancements: New features for images Some highlights: * New menu item: show and hide all images - see GitHub issue #535 * False color nodes now can have a left and right color allowing color steps * Persistance of images through a special file format: "lyimg" (XML based) * Enhancements: LEF/DEF reader Some highlights: * Support for layer map files * More complete spec support (e.g. FILL, MASK, FOREIGN, DO/BY/STEP ...) * Several bug fixes, e.g. routing layer switch for VIAs * Some functions also act on the transient selection (less mouse clicks) * Enhancements: Layout editing and rulers Some highlights: * Object properties now are changed without having to commit the dialogs * Dockable editor options windows * "Recently used" list * A "distribute objects" feature (Edit/Selection/Distribute) * Snap highlighting * Mouse tracking cursor indicates grid- and object-snapped location * Enhancements: Help browser * Bookmarks * Search in page (Ctrl+F) * Enhancement: Improved fill tool The fill tool now also supports skewed fill pattern repetitions * Enhancement: Ability to utilize a LayoutView as a Widget Standalone instantiation of a LayoutView object is supported now. So it becomes possible to create custom MainWindow UIs and embed a layout view there. * Enhancement: Improved layer and cell list filtering and searching * Enhancement: Experimental 3d (called 2.5d) view of layout For details search "2.5d View" in documentation. * Enhancement: Improved performance on sea of instances for OASIS files Iterated OASIS instances are stored and handled in a leaner way in viewer mode * Enhancement: Buddy scripts can concatenate files with "+" for input Concatenation happens by "blending files". Beware of the risk this implies. A new option "--blend-mode" has been introduced for supporting overwrite, skip and variant formation in case of cell name conflicts. See buddy script help. * Enhancement: Layer maps now support n:m layer mapping This allows mapping n input layers to one logical layer (merging) and also one input layer to m logical ones (clone layer). This applies to the buddy scripts and layer maps inside KLayout's reader. * Enhancement: include mechanism for easy include of files into DRC/LVS scripts This is a plain text substitution feature. Don't use it for Ruby or Python scripts (the preferred way is still require/import). But it's a quick way to include partial DRC and LVS scripts without having to worry about variable scope. For details see "Including other files" in the documentation under: KLayout User Manual/Design Rule Check (DRC)/DRC Runsets. * Bugfix: %GITHUB%/issues/771 Fixed an issue with QByteArray/QVariant transformation in Qt binding * Bugfix: %GITHUB%/issues/750 PCB gerber import: Incorrect handling of D02 operations. * Bugfix: %GITHUB%/issues/747 Proper handling of comments in RVE DB reader * Bugfix: %GITHUB%/issues/740 Bug in the "smooth" function. * Enhancement: %GITHUB%/issues/715 A separate search range can be specified for box selections now * Enhancement: %GITHUB%/issues/691 Technology specific libraries: library name resolution based on technology. * Enhancement: %GITHUB%/issues/666 Controlling the way a layout reader integrates cells into an existing layout. * Bugfix: %GITHUB%/issues/663 Deep mode DRC: separation from "inside" gives wrong errors. * Enhancement: %GITHUB%/issues/648 LVS could not do "connect_implicit" with global nets. * Bugfix: %GITHUB%/issues/609 Internal error on net extraction. * Enhancement: %GITHUB%/issues/598 Plain transformation of cell missing. * Enhancement: %GITHUB%/issues/588 RBA/PYA: Provide event when a net is selected in the netlist browser. * Enhancement: %GITHUB%/issues/563 True support for text objects in DRC/LVS (text layers). * Enhancement: %GITHUB%/issues/558 Edges#extents, Texts#extents and EdgePairs#extents now work hierarchically in deep mode. In DRC, this means, "extents" is a deep-enabled method now. * Enhancement: %GITHUB%/issues/535 Image handling enhancements. * Enhancement: %GITHUB%/issues/521 Enhanced API for ObjectInstPath. * Enhancement: %GITHUB%/issues/487 Difficult to select specific labels for net name assignment in net extraction. Solution involves a more elaborate handling of texts through "text layers. 0.26.12 (2021-04-21): * Bugfix: %GITHUB%/issues/747 Result browser gives error message with // lines in RVE files * Bugfix: %GITHUB%/issues/771 Problem with QMimeData binding
2021-05-24*: recursive bump for perl 5.34wiz7-12/+14
2021-05-24(cad/klayout) Updated 0.26.10 to 0.26.11 based on the wip/klayout by Atsushi ↵mef4-24/+13
Toyokura, thanks (0.27.0 is again, waiting) 0.26.11 (2021-02-27): * Bugfix: %GITHUB%/issues/733 Relative path resolution in session files fails when the session file's path contains "+" characters * Enhancement: %GITHUB%/issues/730 Support of class 'QUiLoader' in PYA and RBA * Bugfix: %GITHUB%/issues/728 Fails to build on Debian hppa: uses non-standard sa_restorer field of struct sigaction * Bugfix: %GITHUB%/issues/726 Crash on LayoutView#clear_selection on Linux. * Bugfix: %GITHUB%/issues/723 Backup scheme wasn't working correctly with a single file without path given as command line argument.
2021-05-22(cad/klayout) Updated 0.26.8 to 0.26.10 ( 0.26.11, 0.27.0 are waiting)mef8-200/+149
Based on wip/klayout (a little bit old version) by Atzushi Toyokura work. (pkgsrc) - rename patch - Add comment on patches - more PLIST_SUBST (upstream changes) 0.26.10 (2020-02-02): * Enhancement: %GITHUB%/issues/720 "Safe save" and backups: when saving files, the file is only overwritten after the save terminated successfully. This will avoid loss of data for full volumes or network share dropouts. In addition, a configurable number of back files can be kept (see File/Setup: Application/General). * Bugfix: %GITHUB%/issues/718 GDS export issue fixed (breaking of polygons was filling holes) * Bugfix: %GITHUB%/issues/709 LVS compare enters infinite loop when it encounters two entirely identical subcircuits * Bugfix: %GITHUB%/issues/708 Qt binding: missing support for binary strings as QByteArray representative * Bugfix: %GITHUB%/issues/707 QJsonValue's string constructor is ambiguous * Bugfix: %GITHUB%/issues/704 Rational Splines not supported DXF * Bugfix: %GITHUB%/issues/693 MAGIC files won't find subcells when reading from command line * Bugfix: HTTP stream reading issue with redirect At least on MacOS a segfault happened when reading HTTP stream data (e.g. for package manager) from addresses which are redirected. * Enhancement: Removed %APPDATA% from DLL search path on Windows This will avoid installation poisoning by the one from %APPDATA% (which ironically is the default installation path). Eventually multiple versions can be used in parallel. 0.26.9 (2020-11-27): * Bugfix: %GITHUB%/issues/658 Menu items were not put into the right place on MacOS. * Bugfix: %GITHUB%/issues/652 "M" factor scaling was not working for Spice reader. * Bugfix: %GITHUB%/issues/651 Fixed an issue with DRC "select". * Bugfix: %GITHUB%/issues/647 Text orientation was not considered properly in search & replace * Bugfix: %GITHUB%/issues/646 A potential crash was fixed happening when a PCell library is deleted * Bugfix: Display bug Cell placements with small magnification factors (such that the viewport dimensions exceeded the DBU space when seem from inside the cell) lead to display errors.
2021-05-22librecad: Update to 2.2.0.rc2. Fixed build with latest boost.nia9-89/+39
"More than 280 commits since 2.2.0-rc1 and too much delay, but here it is, the next big step to final 2.2.0 release. We fixed some really serious bugs with DWG reading, what hopefully leads to much less issues and crashes when opening DWG files. There may be still some issues with DWG we want to address and fix for the final 2.2.0 release."
2021-04-21revbump for boost-libsadam3-5/+6
2021-04-21revbump for textproc/icuadam6-9/+12
2021-04-21spice: remove dead download locationwiz2-6/+2
2021-04-14py-PyRTL: Update to 0.9.0ryoon3-89/+87
* Use PyPI as MASTER_SITES. 0.9.0 is not released on GitHub. Changelog: Not available.
2021-04-12cad: expand acronym in COMMENTnia1-2/+2
2021-04-08Update to 2021.01, ok reinoud@bouyer7-57/+39
Main changes since 2019.05: The big language change is the introduction of function literals but there are a number of other useful changes too, like the new exponent operator (^) and much better and more general support for tail recursion optimization. The GUI and especially the Editor has seen a huge amount of new features. Most visible is probably the auto-complete which can propose built-in functions and modules. Another much anticipated feature is the "jump to code" from the 3d preview. While it's not possible to go into the deepest levels of the design in all cases, it's a great tool to navigate designs. But it's worth highlighting also some of the not so obvious smaller features which might improve the editing workflow. » Bookmarks - Ctrl+F2 to toogle bookmarks, F2 / Shift+F2 for jumping to next/previous bookmark. » Changing numbers via mouse - Similar to ALT+Cursor-Up/Down, numbers can be modified by placing the cursor at the number and using the mouse scroll wheel while pressing the ALT key. » Code snippets - Insert often used code snippets using ALT+Insert or via editor context menu, custom templates can be added as simple files. for a complete list of changes see http://www.openscad.org/news.html#20210131
2021-04-02Add LibreDWG, a free C library for reading/writing DWG filesplunky6-1/+95
This software is part of the GNU project, released under the aegis of GNU.
2021-04-02update QCAD to version 3.26.1, with ChangeLog:plunky7-56/+169
3.26.1 (2021/03/08) Edit > Application Preferences > Graphics View > Appearance: Add preference "Use system cursor" Disables all QCAD specific custom mouse cursors, fixes problem with invisible cursors when using multiple screens Bug fixes: FS#2196 - Leader: Arrow removed on load if arrow only fits first segment due to being scaled down FS#2200 - Linetype scale is wrong when scaling linetypes by lineweight 3.26.0 (2021/03/02) Select > (De-)Select contour: Add option to select connected entities on the same layer only Draw > Shape > Rectangle: Add option for rotation angle Use shift key to draw square Draw > Text: Add support for width property for text entities (automatic text wrapping at given width) Edit > Application Preferences: Widgets > Property Editor: Add preference to always format values as decimal numbers General > User Interface: Add preferences for auto screen scale factor, high DPI scaling and scale factor Modify > Explode: Add preference to explode circles into polylines with two half circles (or not) Graphics View > Appearance: Add preference for reference point size Add preference for text height threshold Modify > Chamfer / Bevel: don't add zero length bevel segment for lengths 0/0 Improve selection of images (click anywhere on image instead of image border) Performance: Improve performance for drawings with many dimensions Improve performance of text rendering Improve performance when switching or selecting layers Change tab position for dockable widgets (Left: East, Bottom: South) Use alt + double-click to select entities that are connected and on the same layer Bug fixes: FS#2117 - BBOX inconsistent output FS#2118 - Dark mode: disabled menu text distorted FS#2122 - View > Property Editor: Formatting of Negative Values in Architectural Format FS#2123 - File > Print: Crop marks not printed FS#2131 - Modify > Clip to Rectangle: fails on xlines FS#2132 - Dimension > Baseline / Continue: custom text label position copied from original FS#2133 - Modify > Break out Manual: exception for closed polyline FS#2135 - CAM > Nesting: quantity not correct FS#2137 - View > Property Editor: Block reference shows properties of deleted attributes FS#2142 - Viewports: Cannot snap to reference points of circles / arcs (90°, 180°, 270°) FS#2143 - Rendering: arcs in scaled up blocks rendered with too low resolution FS#2144 - Draw > Viewport > Add Viewport: Custom hatch pattern not shown FS#2145 - Modify > Trim Both: closed polyline as either entity breaks undo FS#2150 - Modify > Stretch: block with attribute not moving FS#2154 - View > Library Browser: '0' shortcut breaks value entering FS#2158 - dwg2bmp: -origin does not export origin FS#2163 - File > SVG Import: parsing path data with implicit separators FS#2171 - Scaling a block reference should not scale line type patterns FS#2170 - Draw > Text: Wrong color for formatted text blocks using a CAD font 3.25.2 (2020/09/07) Update / fix various tool icons Bug fixes: FS#2114 - File > Print: Printout offset under Windows 3.25.1 (2020/08/31) Bug fixes: FS#2033 - Repeated warning message while updating toolpath FS#2105 - File > Print / PDF export: Selected entities are printed / exported in selection color FS#2109 - Dimension > Arc Length: Tool non-responsive 3.25.0 (2020/08/20) Property Editor: Show total area of mixed selection of polylines, arcs and circles File > PDF Export: Add support for PDF/A-1B output This is supported on Windows 64bit, Linux 64bit and macOS >= 10.11 Edit > Copy / Cut: Move relative reference point to center of selection when using Copy or Cut without reference Bug fixes: FS#2058 - Modify > Move, Rotate, ...: "Use current layer and attributes" leads to error in undo FS#2059 - Modify > Explode: Error with hatch in block with positiv/negative x/y scale FS#2061 - Insert Library items fails to update relative zero point FS#2064 - XLines in blocks appear shortened FS#2065 - Viewport: three dimensional entities not rendered FS#2067 - Attributes with fixed color FS#2073 - Property Editor: Imperial Area info incorrect FS#2076 - File > SVG Export: circles in mirrored blocks FS#2078 - Draw > Hatch: Small gap tolerated by QCAD, not by DXF/DWG FS#2080 - Modify > Stretch: Hatch moves instead of stretching FS#2082 - File > Print / PDF Export: Handling of block references on non-plottable layer FS#2083 - Viewport: Fixed extension line length of dimensions not scaled with viewport FS#2084 - File > Save: Fixed extension line length of dimensions not saved if scale != 1 FS#2085 - Drag and drop: moving single polyline vertex moves also polyline segment FS#2086 - Font formatting in text dialog FS#2089 - Property Editor: Linetype Scale and Draw Order wrongly marked with inch symbol FS#2090 - Snap > Auto Snap: intersections of pattern with other entities with cursor close to boundary FS#2101 - File > SVG Export: Double hyphen in text leads to invalid SVG file
2021-03-03(cad/kicad-packages3d) PLIST patch by Mustafa Dogan dogan (at) tesmer.org.trmef1-5/+1047
2021-03-01(cad/kicad) Correction to Version and regen distinfo, thanks bouyer@.mef2-8/+7
2021-02-21cad/kicad*: update to 5.1.9. Changes sinces 5.1.4:bouyer27-281/+1813
mostly bugfixes, new components in symbols and footprints.
2021-02-07*: Recursive revbump from audio/pulseaudio-14.2.nb1ryoon3-6/+6
2021-01-14(cad/klayout) Fix runtime stream format read, converted install dir.mef2-125/+295
Previous PKGREVISION did not install plugins, so that reading file may give unsupportted format error etc. Installing more files now. Because of this the directory is now under ${PREFIX}/lib/klayout/. The error was originally reported from Atsushi Toyokura by personal communication, thanks. (The problem was since 26.*, probably), PKGREVISION++.
2021-01-01*: Recursive revbump from audio/pulseaudio-14.0ryoon3-6/+6
2021-01-01*: Recursive revbump from boost-1.75.0ryoon3-6/+6
2020-12-04Revbump packages with a runtime Python dep but no version prefix.nia3-6/+6
For the Python 3.8 default switch.
2020-11-05*: Recursive revbump from textproc/icu-68.1ryoon5-8/+10
2020-10-30dinotrace: fix dependency patternwiz1-2/+2
2020-10-30(cad/klayout) Updated 0.25.9 to 0.26.8 by Atsushi Toyokura <asteria.at (at) ↵mef5-41/+90
gmail.com> 0.26.8 (2020-09-15): * Enhancement: %GITHUB%/issues/639 A new method is available which enables copying of multiple cells into a target layout while maintaining common subcells are single cells. * Bugfix: %GITHUB%/issues/637 Points have not been selected properly when inside mirrored subcells in partial edit mode. * Bugfix: %GITHUB%/issues/629 Qt5 QTimer timeout signal was not available to Python or Ruby. This also applied to all "private" signals of Qt5. QTimer is just one important example for this case. * Bugfix: %GITHUB%/issues/617 A problem with pseudo-const Python/Ruby objects in the netlist API was fixed. * Bugfix: %GITHUB%/issues/615 Basic authentication for package manager now uses UTF-8 encoding. Most servers expect this kind of encoding today. UTF password characters are supported this way. 0.26.7 (2020-07-07): * Bugfix: %GITHUB%/issues/381 and more Some bugs fixed that happened specifically on MacOS. 1.) A segfault that happened in LVS 2.) Some classes were not properly registered for usage in scripts. * Bugfix: %GITHUB%/issues/493 LVS asserted on MacOS * Enhancement: %GITHUB%/issues/586 As a safety feature, the stream writers now refuse to write layouts with cells carrying the same names. Writing such layers would result in corrupted or invalid layout files. * Bugfix: %GITHUB%/issues/589 (tentative) On Anaconda-based builds for MacOS 10.15 (Catalina) a loss of instances was observed. This could be traced down to compiler/library incompatibilities but not further. * Enhancements: %GITHUB%/issues/591 Two enhancements in the macro editor: 1.) A crash happening when adding a new location was fixed 2.) The template selection popup can now be disabled by dismissing the tip dialog. * Bugfix: %GITHUB%/issues/592 Reading a file into a layout with unnamed layers caused problems with layer mapping specs. * Bugfix: %GITHUB%/issues/596 Library#_destroy was crashing the application. As this function must not be called, it's rerouted to "nothing" for backward compatibility. * Enhancement: %GITHUB%/issues/603 The "adjust origin" feature now allows specifying the target coordinates for the adjustment. * Bugfix: %GITHUB%/issues/610 An internal error happened for certain hierarchical configurations on netlist extraction. * Enhancement: LVS netlist compare The algorithm was improved to provide better reproducibility. The detection of matching paths in the presence of ambiguities was improved. In addition, the netlist compare now favours net names for resolving ambiguities. So if nets are named the same in the layout and the schematic, ambiguities are resolved based on these names. This is usually more efficient. A new function is available to turn this feature off: "consider_net_names(false)". 0.26.6 (2020-06-05): * Enhancement: %GITHUB%/issues/522 Skip comment lines with // in RVE DB reader * Bugfix: %GITHUB%/issues/547 Doc fixes, better error messages on some Shape methods * Bugfix: %GITHUB%/issues/555 Using 64bit coordinates on RVE reader to avoid overflow * Bugfix: %GITHUB%/issues/565 Spice reader: .global should not add pin if the signal isn't used in a subcircuit * Bugfix: %GITHUB%/issues/568 and %GITHUB%/issues/578 A CIF reader and writer bug was fixed * Bugfix: %GITHUB%/issues/570 and %GITHUB%/issues/579 Perimenter can be included in antenna area for the antenna check. This also includes a mode in which only the perimeter is considered. * Enhancement: %GITHUB%/issues/560 A library can be associated with multiple technologies now. * Bugfix: width of cell and library side panels could not be reduced below the width of the selection boxes in the header * Bugfix: cell view selection header text did not get updated when saving the layout to a different file 0.26.5 (2020-05-02): * Bugfix: %GITHUB%/issues/539 An internal error happened on netlist flatten sometimes * Bugfix: %GITHUB%/issues/524 Failed queries leave layout in undefined state * Bugfix: %GITHUB%/issues/525 Report true source line in DRC and LVS verbose mode * Bugfix: %GITHUB%/issues/526 Makes script debugging more reliable * Enhancement: %GITHUB%/issues/527 Wildcard layer mapping for stream readers * Bugfix: %GITHUB%/issues/544 Duplicate .global statements in SPICE netlists created duplicate pins * Bugfix: %GITHUB%/issues/548 A shielding issue was fixed for DRC space measurements * Bugfix: diff tool bug with paths Differences in path points where no reported * Enhancement: documentation clarifications and typos fixed 0.26.4 (2020-03-02): * Bugfix: %GITHUB%/issues/517 DEF reader could not read VIAS+VIARULE without pattern * Enhancement: %GITHUB%/issues/471 Leaner way to specify LVS compare tolerances for device parameters * Enhancement: %GITHUB%/issues/473 Direct access to image data in scripts (array of values instead of per-pixel calls) * Bugfix: %GITHUB%/issues/476 Issues with "target" switching in DRC solved * Bugfix: %GITHUB%/issues/477 Missing letter "J" in Times font .. actually this bug is of 1967. * Bugfix: %GITHUB%/issues/478 Layout queries could not use the "shapes" method * Bugfix: %GITHUB%/issues/481 "isolated" DRC function reported duplicate marker shapes * Enhancement: %GITHUB%/issues/482 "join_symmetric_nets" feature in LVS for implementing "split gate" configurations * Enhancement: %GITHUB%/issues/486 There is a "save as" function available now in the macro editor (in context menu of the macro tree) * Enhancement: %GITHUB%/issues/489 Pin names can be attached as properties to port and pin shapes when reading LEF or DEF * Bugfix: %GITHUB%/issues/491 Performance enhancement of L2N/LVS DB file loader * Bugfix: %GITHUB%/issues/496 Fixed builds on CentOS 8 and Fedora 31 * Enhancement: %GITHUB%/issues/500 Option to limit number of shapes for net tracer * Bugfix: %GITHUB%/issues/501 Issues with ownership transfer fixed in Qt binding * Bugfix: %GITHUB%/issues/502 Issues with AbsoluteProgressReporter in scripts fixed 0.26.3 (2020-01-05): * Bugfix: %GITHUB%/issues/466 A crash happened when accessing layer properties tabs with an invalid index in Ruby or Python * Bugfix: %GITHUB%/issues/464 Problem building a layer tree with "add_child" (Ruby/Python) * Bugfix: %GITHUB%/issues/463 Configuring a layer color with a group node was not effective over multiple levels of hierarchy * Enhancement: %GITHUB%/issues/462 MOS transistor gate figures can be more generic now, e.g. ring-like or non-rectangular * Bugfix: %GITHUB%/issues/458 A net extraction bug related to certain array/array interactions is fixed 0.26.2 (2019-12-18): * Bugfix: %GITHUB%/issues/453 A crash happened when the "inverse" button is used on the TEXT PCell * Bugfix: %GITHUB%/issues/449 The Qt windows styling plugin is now installed too on Windows. * Bugfix: %GITHUB%/issues/448 The LVSDB database wasn't written with the proper device class statements in short form. * Bugfix: %GITHUB%/issues/447 Net extraction did not work properly on a specific test case with folded and interleaving array instances. * Bugfix: %GITHUB%/issues/445 "Selection/Merge shapes" gave cryptic message when instances are selected * Enhancement: %GITHUB%/issues/444 Support for net joining though labels also for specific subcells (here: to support double-height standard cells) * Bugfix: %GITHUB%/issues/440 LayoutView#each_annotation_selected wasn't working * Enhancement: %GITHUB%/issues/438 Redefining a circuit in Spice netlists will give an error now * Enhancement: %GITHUB%/issues/436 Option to silence instance copy mode dialog, Enhancements to interactive move, duplicate and copy (sensitive to transient selection now) * Enhancement: %GITHUB%/issues/434 New preinstalled Ruby gems and Python packages (e.g. numpy, scipy, test/unit for Ruby) for the Windows packages. * Bugfix: %GITHUB%/issues/429 Support for MAGIC file format (*.mag) * Enhancement: %GITHUB%/issues/428 Edit buttons are not shown in user properties dialog in viewer mode * Enhancement: %GITHUB%/issues/426 Techs can be grouped in menu now * Enhancement: %GITHUB%/issues/425 Don't generate dummy pins for dummy gates * Bugfix: %GITHUB%/issues/419 Multiple top circuits after flatten of netlist * Bugfix: %GITHUB%/issues/417 Probe nets does not work with flat extraction on Tools/Trace All Nets/Flat * Bugfix: %GITHUB%/issues/415 and %GITHUB%/issues/439 Macros need to be stored with CRLF on Windows for management with a versioning system like git * Enhancement: %GITHUB%/issues/411 Multiple device extractors for same class * Bugfix: %GITHUB%/issues/410 Errors were not reported on "rerun" from the DRC and LVS report dialogs * Bugfix: %GITHUB%/issues/408 Internal error after EdgePairs#polygon * Enhancement: %GITHUB%/issues/407 Variables can be used in technology base path now - $(tech_name) -> substituted by the technology name - $(tech_dir) -> substituted by the directory the technology file is stored in - $(tech_file) -> substituted by the absolute path to the tech file - $(appdata_path) -> substituted by KLayout's home directory (e.g. ~/.klayout) - $(env('X')) -> substituted by the environment variable $X * Enhancement: %GITHUB%/issues/406 DRC edge layers: AND between intersecting edges gives point-like markers indicating the intersection points * Enhancement: netlist object properties User properties can be attached to the following netlist objects now: Pin, Device, Circuit, Net and SubCircuit. Properties are key/value pairs (keys and values can be strings can be strings or numbers). The property-enabled classes use "NetlistObject" as the base class now. NetlistObject provides "set_property" to set or reset a property and "property" to retrieve one. Properties are persisted in L2N and LVSDB files and written to layout shapes on "build_nets". * Enhancement: more detailed progress On certain nested operations, a double or triple progress bar is shown indicating the progress of nested operations. 0.26.1 (2019-11-06): * Bugfix: %GITHUB%/issues/354 Buddy scripts did segfault. * Bugfix: %GITHUB%/issues/352 LVS: equivalent_pins required the cell to be present. * Enhancement: %GITHUB%/issues/353 Session files: "file-path" and "rdb-file" behavior was inconsistent. * Bugfix: %GITHUB%/issues/358 "strm2oas" was writing GDS files. * Bugfix: %GITHUB%/issues/363 It was possible to produce bad polygons while drawing. * Bugfix: %GITHUB%/issues/372 pymod build failed with HAVE_64BIT_COORD=1. * Enhancement: %GITHUB%/issues/376 Parameters UI callback invoking. * Bugfix: %GITHUB%/issues/368 Segfault with layer list manipulation while iterating. * Bugfix: %GITHUB%/issues/386 "None" is a reserved keyword for Python binding - use "None_". * Enhancement: %GITHUB%/issues/382 Possibility to copy user property value. * Enhancement: %GITHUB%/issues/387 Salt: Python version can be specified in "api-version". Other requirements can be specified too. * Bugfix: %GITHUB%/issues/392 Layers "Style" sub-pane was malformed. * Enhancement: %GITHUB%/issues/394 Even bigger font sizes for rulers and labels for the 4k age: Now there is "XLarge", "XXLarge" and "XXXLarge" as well. * Enhancement: %GITHUB%/issues/393 DRC syntax errors are now shown in their original code line of the DRC script. * Bugfix: %GITHUB%/issues/400 Snap feature wasn't working for deep regions in certain use cases. * Enhancement: new "scale_and_snap" methods These methods allow scaling and snapping of layouts. Scaling is supported by a rational factor. After scaling, snapping can be applied to an integer grid. There are two incarnations. Region#scale_and_snap (or Region#scaled_and_snapped): this is a flat implementation which allows anisotropic scaling/snapping as well. And there is Layout#scale_and_snap which is a fully hierarchical scale-and-snap feature. The latter does not support anisotropic scaling/snapping, but works hierarchically without opening gaps. It operates on a top cell and on all layers. * Enhancement: new Region#pull_* methods and DRC features "pull_*" is a class of methods that allows rehierarchisation of shapes. These methods act similar to "interacting", but the other way around: instead of delivering interacting shapes of the first argument, it will deliver shapes of the second argument. For example, "a.pull_interacting(b)" will deliver polygons of b which interact with polygons of a. As a side effect, the polygons of b will be stored hierarchically close to the polygons of a. This provides a way to "re-hierarchise" layout. The full class of methods and DRC functions involve: Region#pull_interacting, Region#pull_inside, Region#pull_overlapping and the same functions for DRC. "pull_interacting" is also available for the Edges class and edge layers in DRC. * Enhancement: Net tracer bug fix for heavily decomposed polygons The net tracing feature now can operate on heavily decompose polygons as well. Such layouts can be created by using a low max. vertex count on GDS write. The problem were small triangles with an area of 0.5 square DBU. * Enhancements: General fixes of deep DRC mode Some inconsistencies with merged/raw mode have been removed. Performance was enhanced in a few places. Multithreaded mode now works more reliably. * Enhancements/bugfixes: various LVS improvements The backtracking algorithm should work more reliable in cases of ambiguities and floating nets or pins. Performance should be better in some cases. LVS script structure is less rigid now. "cheats" to forcibly ignore hierarchy interactions for some cells (useful for SRAM layout for example). Still there is some work to do. 0.26 (2019-09-10): * Bugfix: %GITHUB%/issues/197 Python: Reimplemented methods are not getting called * Bugfix: %GITHUB%/issues/236 Crash when using "Delete all rulers" * Bugfix: %GITHUB%/issues/251 Register application exit handler in Ruby * Bugfix: %GITHUB%/issues/264 Python exceptions are redirected when PCell is used * Bugfix: %GITHUB%/issues/265 LEF file can't be read * Bugfix: %GITHUB%/issues/277 Region#min_coherence is not recognized by Region#sized * Bugfix: %GITHUB%/issues/293 File/Setup menu and dialog names are inconsistent * Bugfix: %GITHUB%/issues/294 Cannot display the right icon in Ubuntu * Bugfix: %GITHUB%/issues/302 Display issues with small cells * Bugfix: %GITHUB%/issues/305 CIF box positions are incorrect when a rotation is specified * Bugfix: %GITHUB%/issues/306 Round corners issue * Bugfix: %GITHUB%/issues/316 Text capture box has zero dimension for move * Bugfix: %GITHUB%/issues/318 Unable to disable a key binding * Bugfix: %GITHUB%/issues/321 Something's wrong with tip windows * Bugfix: %GITHUB%/issues/322 CIF, error when changing layer name while file open in KLayout * Bugfix: %GITHUB%/issues/330 DXF reader stalls * Bugfix: %GITHUB%/issues/337 Annotation: make "cross" styles available for scripts * Bugfix: %GITHUB%/issues/338 Unreadable Assistant document: QComboBox * Bugfix: Rare crash fixed with line width > 1 With line widths > 1, segfaults have been observed in some rare cases. * Enhancement: %GITHUB%/issues/287 Return an ObjectInstPath from the RecursiveShapeIterator * Enhancement: %GITHUB%/issues/317 "infix move" * Enhancement: %GITHUB%/issues/333 Ruby/Python automation of "auto measure" * Enhancement: %GITHUB%/issues/342 Ruler properties editor: swap points and snap to object buttons * Enhancement: %GITHUB%/issues/343 Dockable bookmark window * Enhancement: Hierarchical mode for DRC ("deep" keyword) DRC can be put into hierarchical mode with the "deep" statement at the beginning of the file. In hierarchical mode, most operations are performed in a hierarchical fashion - i.e. trying to maintain as much from the original hierarchy as possible. * Enhancements: Antenna checks for DRC DRC now got an antenna check feature. Netlist extraction is the basis for this feature. * Enhancement: Hierarchical mode in XOR tool and "strmxor" The XOR tool and the "strmxor" buddy tool provide switches to enable hierarchical mode. These options are considered experimental currently as XOR between two different layouts was not the implementation goal. * Enhancement: Netlist extraction KLayout supports extraction of netlists from a layout. This is the first step towards LVS. This feature includes an infrastructure providing netlist data structures (with Ruby/Python bindings), Netlist readers and writers (Spice format), device recognition and a netlist browser. * Enhancement: LVS The LVS feature is based on the netlist extraction capabilities. It adds netlist vs. netlist compare and the corresponding infrastructure. LVS is a new script environment that is based on the DRC feature and extends the DRC script language by LVS elements. * Enhancement: Command line option -mn to open netlist database * Enhancement: Net tracer "trace all nets" Based on the netlist extraction feature, an alternative mode for net tracing is provided. With "trace all nets", no a single but all nets are traced at once. Probing then happens in the final nets extracted rather than providing the seed shape. "Trace all nets" operates in hierarchical mode and therefore usually is faster and has a smaller memory footprint when extracting huge nets such as power nets. * Enhancement: Library browser The library browser is a dockable tool window which shows all libraries installed. Use drag and drop to place a library cell from the library browser in edit mode. * Enhancement: Layout query enhancements Layout queries (aka "Search and replace") now allow specification of Variables when using the script API. The performance of queries has been enhanced in the case of cell tree recursion. * Enhancement: Gridline colors The grid lines (or dots, depending on the style) can now be given different colors for axes, grid lines and the ruler inset. * Enhancement: Multiple files on import The "File/Import/Other files into current" feature now allows importing multiple files into the current layout. * Enhancement: Python standalone module KLayout supports production of standalone Python modules which provide the same features than the "pya" module but for use without the KLayout binary. If installed properly, "import klayout" will pull in all modules. "import klayout.db" will pull in the database part. Deployment on PyPI is supported. * Enhancement: Modal help dialog from modal windows When using Assistant links in the UI, the assistant now is shown as a modal window when called from a modal dialog. Before, the Assistant window wasn't usable in this case.
2020-10-17(cad/klayout) Updated 0.25.6 to 0.25.9mef2-8/+7
0.25.9 (2019-06-18): * Bugfix: %GITHUB%/issues/261 Issue with iterating Python sequences * Bugfix: %GITHUB%/issues/271 Chose cell coordinates on "Make Cell" * Bugfix: %GITHUB%/issues/272 Error message if trying to run macros in unsupported languages * Bugfix: %GITHUB%/issues/275 "Write without context" did not have an effect with OASIS * Bugfix: %GITHUB%/issues/276 LayerPropertiesNodeRef was not working as documented * Bugfix: %GITHUB%/issues/278 Lost reference with ObjectInstPath#shape * Bugfix: %GITHUB%/issues/281 Width and space violations are reported now also for the "kissing corners" case 0.25.8 (2019-02-23): * Bugfix: %GITHUB%/issues/234 Issues with LayoutView#save_image_with_options * Bugfix: %GITHUB%/issues/232 Crash on reading .lyp files with big stipple pattern * Bugfix: %GITHUB%/issues/228 Bug in Region#interact and DRC's "interact" function. * Bugfix: %GITHUB%/issues/225 Mirrored custom stipple pattern 0.25.7 (2019-01-09): * Bugfix: %GITHUB%/issues/200 Safer iteration of cell instances and shapes (with modifications of container during iteration) - in this case while flattening instances * Bugfix: %GITHUB%/issues/209 Reader options were grayed out in stream import feature. * Bugfix: %GITHUB%/issues/207 Basic.TEXT PCell was rounding bias a spacing values to integer multiples. * Bugfix: %GITHUB%/issues/203 A segfault was fixed that happend on certain platforms when closing the application (e.g. CentOS7). * Bugfix: (RBA/pya) Technology#load was not working Instead of load, the technology was saved.
2020-10-17(cad/gtkwave) Updated 3.3.106 to 3.3.107mef2-7/+7
3.3.107 30sep20 Fix left shift overflow in cvt_fpsudec for fixed point. Added Find First One trace type options. Fixed bug in Show-Change All Highlighted.
2020-10-12math/blas, math/lapack: Install interchangeable BLAS systembacon1-1/+2
Install the new interchangeable BLAS system created by Thomas Orgis, currently supporting Netlib BLAS/LAPACK, OpenBLAS, cblas, lapacke, and Apple's Accelerate.framework. This system allows the user to select any BLAS implementation without modifying packages or using package options, by setting PKGSRC_BLAS_TYPES in mk.conf. See mk/blas.buildlink3.mk for details. This commit should not alter behavior of existing packages as the system defaults to Netlib BLAS/LAPACK, which until now has been the only supported implementation. Details: Add new mk/blas.buildlink3.mk for inclusion in dependent packages Install compatible Netlib math/blas and math/lapack packages Update math/blas and math/lapack MAINTAINER approved by adam@ OpenBLAS, cblas, and lapacke will follow in separate commits Update direct dependents to use mk/blas.buildlink3.mk Perform recursive revbump
2020-10-07(cad/dinotrace) Add missing BUILD_DEPENDSmef1-1/+5
2020-10-06(cad/dinotrace-mode) Update 9.4c to 9.4f (9.4c was broken recently)mef2-11/+12
(pkgsrc) - githubify (upstream) .. Following info are the same as dinotrace properl * Dinotrace 9.4f 2019/10/26 **** Minor cleanups for github repository. * Dinotrace 9.4e 2016/11/24 **** Fix clang compiler warnings. * Dinotrace 9.4d 2014/09/12 **** Fix compiler warnings
2020-10-05(cad/dinotrace) Updated 9.4c to 9.4fmef2-13/+14
(pkgsrc) - githubify (upstream) * Dinotrace 9.4f 2019/10/26 **** Minor cleanups for github repository. * Dinotrace 9.4e 2016/11/24 **** Fix clang compiler warnings. * Dinotrace 9.4d 2014/09/12 **** Fix compiler warnings.
2020-10-03verilator: Update to 4.100ryoon3-10/+14
Changelog: * Verilator 4.100 2020-09-07 ** C++11 or newer compilers are now required. ** SystemC 2.3.0 or newer (SYSTEMC_VERSION >= 20111121) is now required. ** Support hierarchical Verilation (#2206). [Yutetsu TAKATSUKASA] **** Support (with limitations) class extern, class extends, virtual class. **** Support $urandom, $urandom_range without stability. **** Fix false DECLFILENAME on black-boxed modules (#2430). [Philipp Wagner] **** Fix naming of "id : begin" blocks. **** Fix class constructor error on assignments to const. **** Fix splitting eval functions with --output-split-cfuncs (#2368). [Geza Lore] **** Fix queues as class members (#2525). [nanduraj1] **** Add support for assume property. [Peter Monsson] * Verilator 4.040 2020-08-15 ** Version 4.040 is planned to be the final version that will support pre-C++11 compilers. Please move to C++11 or newer compilers. *** Fix arrayed interfaces, broke in 4.038 (#2468). [Josh Redford] **** Support $stable, $rose and $fell. (#2148) (#2501) [Peter Monsson] **** Support simple function localparams (#2461). [James Hanlon] **** Miscellaneous parsing error changes towards UVM support. **** Fix arrayed interfaces (#2469). [Josh Redford] **** Fix protect lib VCS warning. (#2479) [Julien Margetts] **** Fix combining different-width parameters (#2484). [abirkmanis] **** Fix protect-lib without sequential logic (#2492). [Yutetsu TAKATSUKASA] **** Fix V3Unknown from running with flat XML output (#2494). [James Hanlon] **** Fix non-32 bit conversion to float (#2495). [dsvf] **** Fix casting non-self-determined subexpressions (#2493). [phantom-killua] **** Fix SystemC net names (#2500). [Edgar E. Iglesias] **** Fix build with Bison 3.7 and newer (#2505). [Rupert Swarbrick] **** Fix slice of unpacked array (#2506) (#2507). [Yutetsu TAKATSUKASA] * Verilator 4.038 2020-07-11 ** Versions 4.038 and 4.040 are planned to be the final versions that will support pre-C++11 compilers. Please move to C++11 or newer compilers. *** Support VPI access to parameters and localparam. [Ludwig Rogiers] *** Support parsing (not elaboration, yet) of UVM. **** Add new UNSUPPORTED error code to replace most previous Unsupported: messages. **** With --bbox-unsup continue parsing on many (not all) UVM constructs. **** Support for-loop increments with commas. **** Support $swrite with arbitrary arguments. **** Support $writememb (#2450). [Fan Shupei] **** Fix OS X, Free BSD, and -m32 portability issues. [Geza Lore] **** Fix to flush FST trace on termination due to $stop or assertion failure. **** Fix part select error when multipling by power-of-two (#2413). [Conor McCullough] **** Fix division exception (#2460) [Kuoping Hsu] * Verilator 4.036 2020-06-06 ** OPT_FAST is now -Os by default. See the BENCHMARKING & OPTIMIZATION part of the manual if you experience issues with compilation speed. *** Configure now enables SystemC if it is installed as a system headers, e.g. with 'apt-get install systemc-dev'. *** Add --waiver-output flag that writes a verilator config file (.vlt) with waivers to the warnings emitted during a Verilator run. *** Support verilator_coverage --write-info for lcov HTML reports. Line Coverage now tracks all statement lines, not just branch lines. *** --output-split is now on by default. VM_PARALLEL_BUILDS is set by default iff the --output-split caused an actual file split to occur. --output-split-cfuncs and --output-split-ctrace now default to the value of --output-split. These changes should improve build times of medium and large designs with default options. User makefiles may require changes. *** The run-time library is now compiled with -Os by default. (#2369, #2373) **** Support multi channel descriptor I/O (#2190) [Stephen Henry] **** Support $countbits. (#2287) [Yossi Nivin] **** Support $isunbounded and parameter $. (#2104) **** Support unpacked array .sum and .product. **** Support prefix/postfix increment/decrement. (#2223) [Maciej Sobkowski] **** Fix FST tracing of little bit endian signals. [Geza Lore] **** Fix +: and -: on unpacked arrays. (#2304) [engr248] **** Fix $isunknown with constant Z's. **** Fix queues and dynamic array wide ops. (#2352) [Vassilis Papaefstathiou] * Verilator 4.034 2020-05-03 ** Add simplistic class support with many restrictions, see manual. (#377) ** Support IEEE time units and time precisions. (#234) Includes `timescale, $printtimescale, $timeformat. VL_TIME_MULTIPLIER, VL_TIME_PRECISION, VL_TIME_UNIT have been removed and the time precision must now match the SystemC time precision. To get closer behavior to older versions, use e.g. --timescale-override "1ps/1ps". ** Add --build to call make automatically. (#2249) [Yutetsu TAKATSUKASA] ** Configuring with ccache present now defaults to using it; see OBJCACHE. ** Fix DPI import/export to be standard compliant. (#2236) [Geza Lore] ** Add --trace-threads for general multithreaded tracing. (#2269) [Geza Lore] *** Add --flatten for use with --xml-only. (#2270) [James Hanlon] **** Greatly improve FST/VCD dump performance (#2244) (#2246) (#2250) (#2257) [Geza Lore] **** Support $ferror, and $fflush without arguments. (#1638) **** Support event data type (with some restrictions). **** Support $root. (#2150) [Keyi Zhang] **** Add error if use SystemC 2.2 and earlier (pre-2011) as is deprecated. **** Fix build of fast path tracing code to use OPT_FAST. (#2245) [Geza Lore] **** Fix arrayed instances connecting to slices. (#2263) [Don/engr248] **** Fix error on unpacked connecting to packed. (#2288) [Joseph Shaker] **** Fix logical not optimization with empty begin. (#2291) [Baltazar Ortiz] **** Fix reduction OR on wide data, broke in v4.026. (#2300) [Jack Koenig] **** Fix clock enables with bit-extends. (#2299) [Marco Widmer] **** Fix MacOs Homebrew by removing default LIBS. (#2298) [Ryan Clarke] * Verilator 4.032 2020-04-04 *** Add column numbers to errors and warnings. *** Add GCC 9-style line number prefix when showing source text for errors. *** Add setting VM_PARALLEL_BUILDS=1 when using --output-split. (#2185) *** Change --quiet-exit to also suppress 'Exiting due to N errors'. **** Suppress REALCVT for whole real numbers. **** Support split_var in vlt files. (#2219) [Marco Widmer] **** Fix parameter type redeclaring a type. (#2195) [hdzhangdoc] **** Fix VCD open with empty filename. (#2198) [Julius Baxter] **** Fix packages as enum base types. (#2202) [Driss Hafdi] **** Fix duplicate typedefs in generate for. (#2205) [hdzhangdoc] **** Fix MinW portability. (#2114) [Sean Cross] **** Fix assertions with unique case inside. (#2199) [hdzhangdoc] **** Fix implicit conversion of floats to wide integers.
2020-09-27(cad/tnt-mmtl) Fix build: Add BUILD_DEPENDS+= tex-epstopdf-pkg-[0-9]*mef1-1/+2
2020-09-27(cad/iverilog) Fix build, adapting to bison 3.7.1mef2-4/+4