summaryrefslogtreecommitdiff
path: root/cad
AgeCommit message (Collapse)AuthorFilesLines
2018-12-27async is a keyword for Python 3.7+, so rename it. Bump revisionjoerg4-2/+84
2018-12-16Enable verilatorryoon1-1/+2
2018-12-16cad/verilator: import verilator-4.006ryoon5-0/+148
Verilator is the fastest free Verilog HDL simulator, and outperforms most commercial simulators. Verilator compiles synthesizable SystemVerilog (generally not test-bench code), plus some SystemVerilog and Synthesis assertions into single- or multithreaded C++ or SystemC code. Verilator is designed for large projects where fast simulation performance is of primary concern, and is especially well suited to generate executable models of CPUs for embedded software design teams.
2018-12-15*: update email for fhajnywiz1-2/+2
2018-12-13revbump for boost 1.69.0adam3-6/+6
2018-12-09revbump after updating textproc/icuadam1-1/+2
2018-12-09Updated cad/klayout 0.25.4 to 0.25.6mef2-8/+7
0.25.6 (2018-11-23): * Enhancement: https://github.com/klayoutmatthias/klayout/pull/199 Added an option to export DXF polygons as LINE objects * Bugfix: https://github.com/klayoutmatthias/klayout/issues/198 Stitching of DXF contours wasn't taking nearest neighbours * Bugfix: https://github.com/klayoutmatthias/klayout/issues/191 MainWindow/PluginRoot classes got mixed up As a side effect of this fix, layout views can now be configured individually. This wasn't working consistently before. 0.25.5 (2018-10-20): * Bugfix: https://github.com/klayoutmatthias/klayout/issues/162 GDS2 LIBNAME was not maintained on "File/Save". * Bugfix: https://github.com/klayoutmatthias/klayout/issues/166 Internal error when writing GDS files (breaking of polygons) * Bugfix: https://github.com/klayoutmatthias/klayout/issues/172 DEF reader did not pull vias from LEF * Bugfix: https://github.com/klayoutmatthias/klayout/issues/174 Performance issue with many layers with width >1 * Bugfix: https://github.com/klayoutmatthias/klayout/issues/176 Painting issue with texts * Bugfix: https://github.com/klayoutmatthias/klayout/issues/185 Hash values available as __hash__ standard method now for Python * Bugfix: some potential memory corruption issues fixed During the efforts for making the code base compatible with MSVC, some potential candidates for memory corruption have been identified and fixed. These fixes are included in this release.
2018-12-08Updated cad/gtkwave to 3.3.97mef2-8/+7
3.3.94 03sep18 Applied ghwlib.c patch for dealing with null ranges. Added second chance algorithm for find_dumpfile() in case it fails. 3.3.95 07oct18 Added fflush on stdout for help text as fix for possible stdout problem with mingw/msys shells. Added preliminary support for Time datatype. Warnings fixes for Verilator integration. Fixed install_proc_filter usage for Tcl invocation. Change integer type to "integer" in SST to differentiate it from sv ints. Premiminary support for enum tables embedded in FST files. 3.3.96 15nov18 Changed to standardized zoom in/out/full hotkeys. Added time backtracking warning (for partial mode) to lxt2vcd. VCD time backtracking fix (not for interactive mode). Added drag_failed handling (can press ESC) to DnD operations. Prevent missing file in savefile from causing savefile to be read as VCD by mistake. Changed to Dinotrace-like 0s/1s rendering for bit vectors so values can be discerned without seeing the full value text. Removed unneeded pango_layout_get_extents() inside call for font_engine_draw_string(). Changed bsearch_trunc() to run in constant time when monospace fonts are in use. Added missing GDK_SCROLL_MASK to signal area (need for gtk3, but not for other versions for some reason). 3.3.97 23nov18 Need to set menu_wlist entry NULL on gtk_widget_destroy(). Fix on vtype()/vtype2() to detect 'x' and make the coloration red on newly-displayed traces. (Bug new from Dinotrace-like rendering in 3.3.96.)
2018-11-20py-simpy: updated to 3.0.11adam3-22/+18
3.0.11: - [FIX] Repair Environment.exit() to support PEP-479 and Python 3.7. - [FIX] Fix wrong usage_since calculation in preemptions - [NEW] Add "Time and Scheduling" section to docs - [CHANGE] Move Interrupt from events to exceptions - [FIX] Various minor documentation improvements
2018-11-14Revbump after cairo 1.16.0 update.kleink11-21/+22
2018-11-13Update to pcb-4.1.3dmcmahill3-17/+73
======================================================================== Release notes for pcb-4.1.3 ======================================================================== Dear Users, This is a bug fix release of pcb. Kind regards, The pcb development team. Contributors ------------ The following authors contributed to the 4.1.3 release: - Charles Parker - Milan Prochac - Bert Timmerman Please note that names are in no particular order and all e-mail addresses have been removed for privacy. User experience improvements ---------------------------- - Fixed potential data loss when currently opened PCB file is modified on disk. - Blind/Burried vias clear soldermask on layers they don't intersect. - Fixed ChangeClearSize() for changing a via's solder mask clearance. - Fixed polygon to line separation DRC. - Fixed DRC flags lines that are cleared by other objects. - Fixed DRC misses lines near polygons if clearance < bloat. - Fixed the segfault on picking load netlist menu item. Plugins ------- - none Exporters --------- - none Footprints library ------------------ - none Developer experience improvements --------------------------------- - Added file version tests. - Fixed some compile warnings. - Fixed some Bison warnings. Notes for early adapters ------------------------ - none. Changed dependencies -------------------- - none. Fixed and committed Launchpad bug reports ----------------------------------------- #746178 Polygon to line separation DRC wrong. #929123 ChangeClearSize() is broken for changing a via's solder mask clearance. #1767812 Blind/Burried Vias Clear Soldermask on Layers they Don't Intersect. #1773409 File operations, bugs and change requests. #1773652 Compile warnings on master. #1773852 Potential data loss when currently opened PCB file is modified on disk. #1776089 Bison warnings. #1780676 pcbtest.sh needs to specify the appropriate menu resource file. #1780682 PCBFileVersionNeeded tests features in the wrong order. #1782036 DRC flags lines that are cleared by other objects. #1782041 DRC misses lines near polygons if clearance < bloat. #1788845 Picking load netlist menu item causes segfault. #1790649 pcb refuses to load footprint files. ======================================================================== Release notes for pcb-4.1.2 ======================================================================== Dear Users, This is a bug fix release for a correction of the toolbar icons in the Microsoft Windows version of pcb. Kind regards, The pcb development team. Contributors ------------ The following authors contributed to the 4.1.2 release: - Charles Parker - Dan McMahill - Bert Timmerman Please note that names are in no particular order and all e-mail addresses have been removed for privacy. User experience improvements ---------------------------- - Correction of toolbar icons issue (Microsoft Windoes version of pcb). Plugins ------- - none Exporters --------- - none Footprints library ------------------ - none Developer experience improvements --------------------------------- - Added IPC-D-356 tests. Notes for early adapters ------------------------ - none. Changed dependencies -------------------- - none. Fixed and committed Launchpad bug reports ----------------------------------------- ##1660198 IPC-D-356 netlister needs a regression test. ======================================================================== Release notes for pcb-4.1.1 ======================================================================== Dear Users, This is a bug fixes release. Kind regards, The pcb development team. Contributors ------------ The following authors contributed to the 4.1.1 release: - Charles Parker - Dan McMahill - Bert Timmerman Please note that names are in no particular order and all e-mail addresses have been removed for privacy. User experience improvements ---------------------------- - MS Windows (MinGW) installer available from SourceForge (https://sourceforge.net/projects/pcb/files/pcb/). - Corrections and improvements in the User Manual. - Avoid instant 'CRASH' if an action script implies 'invalidate_lr'. - Fixed a bug in moving a selection. - Snapping to pins and pads whilst drawing a line trace. - Fixed a bug in rubberband functionality. Plugins ------- - none Exporters --------- - none Footprints library ------------------ - none Developer experience improvements --------------------------------- - Add draw_grid to the hid graphics structure. Notes for early adapters ------------------------ - MS Windows (MinGW) installer can be build again. Changed dependencies -------------------- - For mingw cross builds the following minimum package versions are required: mingw64-i686-atk1.0 >= 2.26.1-1 mingw64-i686-binutils >= 2.29.1.787c9873-1 mingw64-i686-bzip2 >= 1.0.6-4 mingw64-i686-cairo >= 1.14.12-1 mingw64-i686-dbus >= 1.10.22-1 mingw64-i686-expat >= 2.2.2-1 mingw64-i686-fontconfig >= 2.12.6-1 mingw64-i686-freetype2 >= 2.6.5-1 mingw64-i686-gcc-core >= 6.4.0-1 mingw64-i686-gcc-g++ >= 6.4.0-1 mingw64-i686-gd >= 2.2.5-1 mingw64-i686-gdk-pixbuf2.0 >= 2.36.11-1 mingw64-i686-gettext >= 0.19.8.1-2 mingw64-i686-glib2.0 >= 2.54.3-1 mingw64-i686-gtk2.0 >= 2.24.31-1 mingw64-i686-gtkglext1.0 >= 1.2.0-1 mingw64-i686-harfbuzz >= 1.7.4-1 mingw64-i686-headers >= 5.0.3-1 mingw64-i686-jasper >= 2.0.14-1 mingw64-i686-jbigkit >= 2.1-1 mingw64-i686-libffi >= 3.2.1-1 mingw64-i686-libimagequant >= 2.10.0-1 mingw64-i686-libjpeg-turbo >= 1.5.3-1 mingw64-i686-libpng >= 1.6.34-1 mingw64-i686-libwebp >= 0.6.1-1 mingw64-i686-libXpm >= 3.5.12-1 mingw64-i686-lzo2 >= 2.08-1 mingw64-i686-pango1.0 >= 1.40.14-1 mingw64-i686-pcre >= 8.40-3 mingw64-i686-pixman >= 0.34.0-1 mingw64-i686-pkg-config >= 0.29.1-1 mingw64-i686-pkg-config-debuginfo >= 0.29.1-1 mingw64-i686-runtime >= 5.0.3-1 mingw64-i686-tiff >= 4.0.9-1 mingw64-i686-win-iconv >= 0.0.6-2 mingw64-i686-windows-default-manifest >= 6.4-1 mingw64-i686-winpthreads >= 5.0.3-1 mingw64-i686-xz >= 5.2.3-1 mingw64-i686-zlib >= 1.2.11-1 This allows for building a MS windows (MinGW) installer to work again. Fixed and committed Launchpad bug reports ----------------------------------------- #699012 File format documentation out-of-date. #884046 snap to pins and pads does not work when trying to draw line. #1545165 PIns/Via show Name/Number menu item doesn't work, only D hotkey does. #1645101 Inconsistent argument type to invalidate_lr. #1653280 MinMaskGap test fails. #1654884 rubberband. #1672093 Add draw_grid to the hid graphics structure. #1731369 crosshair limits update. #1731375 Crosshair Initialization. #1748679 Increments are incorrect after loading file. #1744589 Cannot move selection. #1754479 avoid instant 'CRASH' if an action script implies 'invalidate_lr'. #1759742 win32 build updates. ======================================================================== Release notes for pcb-4.1.0 ======================================================================== Dear Users, This release introduces a file format change. This is required by the added Blind and Buried Via capabilities of pcb. Kind regards, The pcb development team Contributors ------------ The following authors contributed to the 4.1.0 release: - Charles Parker - Mike Crowe - Andrew Armenia - Bdale Garbee - Keith Packard - DJ Delorie - Jan Damborsky - Ahmed El-Mahmoudy - Bert Timmerman Please note that names are in no particular order and all e-mail addresses have been removed for privacy. User experience improvements ---------------------------- - the blind and buried via feature is introduced. - removed the deprecated mimelnk files. - added a releases section to the appdata. - corrections in the User Manual. - reordered the exporter options in the User manual. - better front matter and copyrights for the User Manual. - splitted the Installation and Troubleshooting appendix in the User Manual. - correction in desktop integration name and comment. - when writing a pcb file, write arc angles with decimal places. - added the "Development" category in the desktop integration. Plugins ------- - none Exporters --------- - gsvit exporter added. Footprints library ------------------ - several Nichicon radial capacitor footprints were added to the pcblib. Developer experience improvements --------------------------------- - some more doxygenation of source code files. - added regression tests for the Nelma exporter. Notes for early adapters ------------------------ - none Changed dependencies -------------------- - none Fixed and committed Launchpad bug reports ----------------------------------------- #1270886 When saving file, angles are rounded to nearest degree. #1529233 Building from source fails on Solaris due to missing locale.h. #1545170 Arcs don't honor Rubber band mode. #1656661 Blind/Buried vias - new feature. #1665992 Lesstif needs blind and buried via support. #1695534 Patch to enchance features of NELMA. #1717254 Remove deprecated mimelnk files. #1720629 Spelling errors in pcb. #1731369 Crosshair limits update. #1744589 Cannot move selection. ======================================================================== Release notes for pcb-4.0.2 ======================================================================== Dear Users, This release fixes a number of bugs. Kind regards, The pcb development team Contributors ------------ The following authors contributed to the 4.0.2 release: - Charles Parker - Newell Jensen - Johann Klammer - Igor2 - Peter Clifton - Dan McMahill - Bert Timmerman Please note that names are in no particular order and all e-mail addresses have been removed for privacy. User experience improvements ---------------------------- - include board outline on assembly drawings. - fixed the SMD pads resizing bug (fix a drawing routine). - fixed a segmentation fault when loading a pcb file with an empty style field. - added an escape callback to the command window. this allows the command window to now be closed with the escape key. Plugins ------- - none Exporters --------- - improvements in documentation. Footprints library ------------------ - none Developer experience improvements --------------------------------- - some more doxygenation of source code files. - added a "pcb release" howto in the developer docs. - corrections in the FSF address as to keep rpmlint quiet. - Small enhancements to the pcbtest.sh script. allow the binary for gdb to be specified on the command line make the definition of test paths more readable. - exclude eps files in the doc directory. - removed unused code when inserting points on rats. - fix of incorrect flag struct comparison. Notes for early adapters ------------------------ - none Changed dependencies -------------------- - none Fixed and committed Launchpad bug reports ----------------------------------------- #1658514 Specify which gdb to use on the command line of pcbtest.sh. #1645143 Unused code when inserting points on rats. #1692219 Include board outline on assembly drawings when the "outline" option is checked in the PS exporter. #1690529 Incorrect FSF address in files. #1651440 FLAGS_EQUAL attempts to compare two flags using memcmp() on the full struct. #1688402 Pads change size when element flipped to other side. #1687776 <esc> should close command entry window. #1670023 LessTif HID: XmScrollBar Warnings. #1670040 Segfault when loading file with empty Styles directive.
2018-11-12Recursive revbump from hardbuzz-2.1.1ryoon12-22/+24
2018-09-22Updated cad/klayout to 0.25.4mef2-7/+7
0.25.4 (2018-08-25): * Bugfix: https://github.com/klayoutmatthias/klayout/issues/121 Issue with multiple reads of GDS2 layouts including PCells * Bugfix: https://github.com/klayoutmatthias/klayout/issues/134 Error in cell.fill_region caused by big polygon with spikes * Bugfix: https://github.com/klayoutmatthias/klayout/issues/139 Libraries have not been reassigned when loading a GDS file from command line (does not happen on File/Open) * Bugfix: https://github.com/klayoutmatthias/klayout/issues/141 Issue with RBA::QHostAddress (ambiguous overload) on Qt5 * Bugfix: https://github.com/klayoutmatthias/klayout/issues/142 Issue with RBA::RecursiveShapeIterator#region= * Bugfix: https://github.com/klayoutmatthias/klayout/issues/144 The Salt package descriptions are not shown with Motif style * Bugfix: https://github.com/klayoutmatthias/klayout/issues/148 Wrong font is used * Bugfix: https://github.com/klayoutmatthias/klayout/issues/152 Shapes#size reported a wrong shape count in viewer mode * Bugfix: https://github.com/klayoutmatthias/klayout/issues/153 Application crash when editing guiding shape properties * Bugfix: https://github.com/klayoutmatthias/klayout/issues/155 Program freezes after replacing nothing by something in Macro editor * Bugfix: https://github.com/klayoutmatthias/klayout/issues/157 "Replace cell with ..." rejected cell names with a library prefix * Bugfix: https://github.com/klayoutmatthias/klayout/issues/158 Repaint issue on cell context * Bugfix: https://github.com/klayoutmatthias/klayout/issues/159 Tech specific macros and DRC scripts were not shown in tech manager * Bugfix: 8 bit indexed GIF images can be used for package icons now * Enhancement: Provide a way to specify the type of a macro This feature is mainly useful for command line arguments. If you run KLayout with "klayout -b -r myscript" it will not be able to determine the type of macro without a suffix. You can now explicitly specify a certain type by giving the suffix implicitly like: "klayout -b -r myscript[rb]" This will read "myscript" but pretend it was "myscript.rb" and execute it as Ruby script. This feature is handy if you need to run a file with a specific interpreter but cannot modify the file name. * Enhancement: Selection now shows PCell display names Before, the internal name was shown for instances * Enhancement: There is an option in the View menu to show or hide markers Markers may hide layout under them. With this feature you can quickly disable all markers and the layout becomes visible.
2018-08-29cad/py-simpy: Use egg.mk rather than distutils.mkminskim2-7/+8
This package uses setuptools.
2018-08-22Recursive bump for perl5-5.28.0wiz5-9/+10
2018-08-20BUILD_DEPENDS+= -> TEST_DEPENDS+=szptvlfn1-2/+2
2018-08-18Updated cad/gtkwave to 3.3.93mef3-8/+10
3.3.92 05jul18 Harden FST loader for missing .hier files (if applicable). Fixed broken GTK+-1.2 compile of twinwave. Fix scrolling on help window by adding scroll to end mark. Fix scrolling on status window when use_toolbutton_interface rc var is set to FALSE by adding scroll to end mark. Updated BUILT_SOURCES for vermin. extern yy_size_t yyleng fix in rtlbrowse. 3.3.93 03aug18 Added sst_dbl_action_type rc variable which controls side- effect of double-clicking in SST signals pane. Added xml2stems Verilator XML to rtlbrowse stems converter to distribution. Eventually vermin will be removed. Added missing realpath() in udp emission in vermin.
2018-08-16revbump after boost-libs updateadam3-6/+6
2018-07-27Upgrade to QCAD 3.21.2.3, with changes:plunky11-202/+2342
3.21.2 (2018/07/09): Modify: Restructure menu into submenus Layer list, block list: Improve sorting for international characters (ä, é, etc.) Bug fixes: FS#1776 - Edit > Application Preferences: Tool Settings: cannot configure shortcuts FS#1777 - Crash when pasting / importing drawing with missing image FS#1778 - Draw > Point > Single Point: Exception 3.21.1 (2018/06/29): Draw > Circle > 3 Tangents: Allow all tangents to be segments of same polyline Bug fixes: FS#1180 - dxflib cannot open files with international characters in path or file name FS#1773 - File > PDF Export / SVG Export / Bitmap Export: file name suggestion in file dialog is drawing file FS#1775 - Viewports: images sometimes not clipped at viewport edge 3.21.0 (2018/06/26): Dimension: Add option to flip first / second arrow Add reference points to flip arrows using mouse click Angular: Add option "Use maximum angle" to angle dimension tool for angles > 180° Add support for 3 point angle dimensions (required for angle dimensions > 180°) Polylines: Add reference points at middle of line segments to move line segments Edit > Application Preferences: Keyboard > Enable keyboard navigation in list widgets: Enable / disable keyboard navigation in list widgets (up/down, type first letters) Layer > Add Layer: Add separate default attributes for layer 0 Graphics View > Navigation: Add "Middle mouse button zoom factor" Add "Panning threshold" Draw > Image: Transparent live preview when inserting / modifying images Scale image using drag and drop on corners Keep aspect ratio using Shift Improve display of reference points Always display in front of highlighted entities Add border around reference points Zoom in by clicking middle mouse button (out using Shift+middle mouse button) View > Property Editor: Add support for requestible (slow) properties Bug fixes: FS#1741 - Property Editor: titles of block attributes with _ cut off FS#1742 - File > Print: printed point size depends on zoom level FS#1743 - Draw > Text: Wrong bounding box for text with empty supper or subscript FS#1745 - File > Bitmap Export: thick lines exported thin FS#1753 - View > Property Editor: entity types in property editor not translated FS#1756 - Polyline area wrong if polyline contains arc segments FS#1757 - Modify > Auto Trim: on polyline, followed by undo yields corrupt / invisible polyline FS#1758 - Draw > Polyline > Create from Selected Entities: crash for geometrically closed polylines FS#1766 - Edit > Application Preferences: Tool Settings: cannot add menus to Tool Matrix FS#1768 - Draw > Polyline > Create From Segments: segments deleted even if no polyline can be added 3.20.1 (2018/04/13): Bug fixes: FS#1736 - Draw > Image: broken FS#1737 - Block > Attributes > Define Block Attribute: broken FS#1738 - File > Print / PDF Export: shortcut broken in print preview 3.20.0 (2018/04/12): QCAD API: Add support for property attributes for custom properties Read-only Undeletable Invisible Choices (combo box) Allow translation of custom property labels Translations: Add support for complex plural forms in certain languages (singular, paucal, plural) Complete Polish translation Deployment: Bundle script files and resources as plugin (speed up installation, updates and start up) Bug fixes: FS#1686 - File > Print: Line scale in Viewport wrong if Model_Space not 1:1 FS#1677 - Dimension: Scale option grayed out in model space FS#1696 - Modify > Isometric Projection > Cylindrical: exception FS#1695 - Context menu: editing tools not working in paper space FS#1703 - File > Bitmap Export: Monochrome with black background broken FS#1704 - Wrong labels for information area buttons FS#1712 - dwg2pdf: auto fit fails for blocks FS#1720 - Modify > Auto Trim: fails for Rays and Infinite Lines FS#1734 - Draw > Text: Color changes for CAD font texts ignored 3.19.2 (2017/12/20): Modify > Stretch: Only stretch selected entities if there is a selection File > Bitmap Export: Add option for transparent background Add option to only export bounding box of selection Bug fixes: FS#1659 - File > Print Preview: does not always open / close properly FS#1664 - Block > Insert Block: attribute color not synced with attribute definition color FS#1665 - Edit > Drawing / Application Preferences > Point Display: second last button has no effect FS#1669 - Edit > Application Preferences > Defaults for New Drawings > Dimension Settings: math expressions FS#1670 - Layout Blocks are not using print settings from Application Preferences FS#1671 - Block > Add Layout Block: fails if layout with same name was deleted before FS#1682 - Draw > Text: line spacing factor < 0.25 or > 4.0 causes entity not being saved
2018-07-24Update to 0.25.3ryoon10-116/+141
* Use Qt 5 instead of Qt 4 Changelog: 0.25.3 (2018-05-03): * Enhancement: Compile option to use libcurl instead of QtNetwork This workaround enables builds on the native CentOS6 configuration with the package manager functional. * Enhancement: Pass label (text) layers through DRC script The "labels" method now allows copying labels from a layer to the output. * Enhancement: New "split" method for polygons This method will fragment the polygons into two or more smaller parts for reducing their vertex count. * Enhancement: New "dtrans" method for RecursiveShapeIterator This method delivers the transformation in micron unit space * Enhancement: New "insert" methods for Shapes Shapes can now insert from another Shapes container and from a RecursiveShapeIterator. Possible applications are fast flattening and fast region selection. * Enhancement: New method to generate RDB items from shapes RdbItem#add_value from a shape, RdbDatabase#create_items from a shapes container or recursive shape iterator and RdbDatabase#create_item from a shape. * Enhancement: DXF and CIF "keep layer names" If this option is set in the reader options, layer names are not translated into GDS layer/datatype pairs. Specifically a layer called "L5" for example is not translated to 5/0 and to "L5D0" on output correspondingly. The buddy script option is "--keep-layer-names". * Enhancement: DXF contour joining accuracy On the DXF reader's options, a contour joining accuracy can be specified. Small gaps smaller than this accuracy will be closed when forming joined contours from edges. The buddy script option is --dxf-contour-accuracy=value. * Bugfix: DXF display issue In some cases, DXF cell instances did not trigger bounding box update and the cells only got visible after save and load. * Bugfix: XOR progress is more realistic The progress is updated after the layer has been computed, not before. * Bugfix: https://github.com/klayoutmatthias/klayout/issues/117 DTrans#itype was broken. * Bugfix: https://github.com/klayoutmatthias/klayout/issues/116 Fixed a polygon decomposition bug when writing GDS files with big polygons with many holes. As a side effect, the polygons with many holes computed by a NOT operation for example are less complex and spikes in the cutlines are avoided. * Bugfix: https://github.com/klayoutmatthias/klayout/issues/115 Reader options were not persisted. * Bugfix: https://github.com/klayoutmatthias/klayout/issues/114 Custom line styles not loaded from tech's layer properties file. * Enhancement: https://github.com/klayoutmatthias/klayout/issues/113 The XOR tool has an option now to heal result shapes which cross tile boundaries. The result shape count of tiled and non-tiled mode should basically be the same then. * Bugfix: https://github.com/klayoutmatthias/klayout/issues/112 Salt package repository relative paths have not been working. * Bugfix: https://github.com/klayoutmatthias/klayout/issues/109 Issues with Python 3 and shape properties - property * Bugfix: https://github.com/klayoutmatthias/klayout/issues/108 Bugfix on Box#enlarge and Box#moved for empty boxes. keys generated with Python 3 could not be written to GDS2. * Bugfix: https://github.com/klayoutmatthias/klayout/issues/107 Undo not working with shapes. * Enhancement: https://github.com/klayoutmatthias/klayout/issues/106 Search & replace help page enhancements. 0.25.2 (2018-03-20): * Bugfix: https://github.com/klayoutmatthias/klayout/issues/90 DRC: "extended" was not working as expected with "joined = true" * Bugfix: https://github.com/klayoutmatthias/klayout/issues/89 Display issue on MacOS fixed * Enhancement: https://github.com/klayoutmatthias/klayout/issues/85 IDE debugger: files can be excluded from showing exceptions when they are thrown. To exclude a file press the new "Ignore" button when the debugger tells you an exception has been generated. To re-able exception reporting, clear the list of the files in the IDE settings ("Debugging" tab) The macro IDE settings can now be edited in the File/Setup dialog. * Bugfix: https://github.com/klayoutmatthias/klayout/issues/94 Retina displays are support to some extend on MacOS. An open topic is the quality of the icons. * Enhancement: build system for MacOS 0.25.1 (2018-02-23): * Enhancements: build compatibility with MacOS and Qt 5.9. Qt 4.6 supported now as well with one restriction: the package installation feature is not working. * Bugfixs: Package manager - Remove button wasn't enabled if multiple packages were selected - A potential crash ob removing packages was fixed * Enhancement: 64 bit coordinate support enabled on Windows builds * Further bugfixes: See links - https://github.com/klayoutmatthias/klayout/issues/21 (Autorun(-early) doesn't seem to run when lym files are inside a package) - https://github.com/klayoutmatthias/klayout/issues/24 (Text insert dialog bug - Ok button isn't working) - https://github.com/klayoutmatthias/klayout/issues/26 (Exceptions are reported every time they propagate up in the call chain in the ruby debugger) - https://github.com/klayoutmatthias/klayout/issues/28 (CIF format detection failed) - https://github.com/klayoutmatthias/klayout/issues/30 (Writer options dialog non-functional on a fresh configuration) - https://github.com/klayoutmatthias/klayout/issues/32 (Rounding issue with instance properties) - https://github.com/klayoutmatthias/klayout/issues/33 (Plugin factory not working when using with Python) - https://github.com/klayoutmatthias/klayout/issues/36 (Hardening against destruction of object inside event handler) - https://github.com/klayoutmatthias/klayout/issues/39 (Action cannot be reassigned) - https://github.com/klayoutmatthias/klayout/issues/40 (Crash in Python binding) - https://github.com/klayoutmatthias/klayout/issues/41 (Polygon#touches? issue) - https://github.com/klayoutmatthias/klayout/issues/42 (Headless mode support with Qt5/-zz) - https://github.com/klayoutmatthias/klayout/issues/43 (Crash when using Qt specific command line options) - https://github.com/klayoutmatthias/klayout/issues/44 (Transformation constructor with x,y not working) - https://github.com/klayoutmatthias/klayout/issues/45 (Partial selection does not capture instance) - https://github.com/klayoutmatthias/klayout/issues/48 (Cancel does not reset current tool) - https://github.com/klayoutmatthias/klayout/issues/51 (Segmentation fault on return to main window and other opportunities) - https://github.com/klayoutmatthias/klayout/issues/53 (Unreadable 'about' text) - https://github.com/klayoutmatthias/klayout/issues/62 (QXmlSimpleReader#parse cannot be called) - https://github.com/klayoutmatthias/klayout/issues/63 (Wrong output on DRC non_interacting with empty second input) - https://github.com/klayoutmatthias/klayout/issues/64 (Crash on exit) - https://github.com/klayoutmatthias/klayout/issues/68 (OASIS reader issue with degenerated shapes) - https://github.com/klayoutmatthias/klayout/issues/69 (DRC: 'inside' does not merge shapes of second input) - https://github.com/klayoutmatthias/klayout/issues/71 (Target cell argument is required) - https://github.com/klayoutmatthias/klayout/issues/72 (Edges/Region NOT issue) - https://github.com/klayoutmatthias/klayout/issues/73 (Allow 'change layers' on PCells which support a single layer parameter) - https://github.com/klayoutmatthias/klayout/issues/74 (Small-corner boolean issue) - https://github.com/klayoutmatthias/klayout/issues/75 (Python PCell issue when parameters are called 'layer') - https://github.com/klayoutmatthias/klayout/issues/79 (Replace function enabled also for read-only macros) * Further enhancements: see links - https://github.com/klayoutmatthias/klayout/issues/29 (Permissive mode for OASIS writer on odd-width paths) - https://github.com/klayoutmatthias/klayout/issues/59 (Async download of package index and details) - https://github.com/klayoutmatthias/klayout/issues/66 (Authentication dialog indicates retry) - https://github.com/klayoutmatthias/klayout/issues/77 (Layout#copy_tree now works in non-editable mode too) 0.25 (2017-11-04): * Enhancement: Menu customization Menu items can be disabled or enabled now. The former "Key Bindings" configuration page has been turned into "Menu Customization". Check boxes allow enabling or disabling of menu items. Disabled menu items are not shown. A search filter allows quick selection of menu items by title or path. * Enhancement: The cell tree no longer is collapsed on edits or when the sorting order changes. * Enhancement: Using a special menu path, macros can be configured to appear in submenus now. * Enhancement: KLAYOUT_HOME environment variable to control the location of the application folder. The application folder is the place where KLayout keeps the configuration, macros, packages and other things. * Enhancements: Major overhaul of the build environment - Qt5 supported now - Employs qmake as build system - Reorganisation of the sources - Continuous integration enabled (unit tests integrated in .pro files, JUnit output etc.) - Compatible with the clang compiler * Enhancements: Buddy tools: KLayout now comes with a set of additional executables that provide some functionality subset in a lean way. These binaries are named "strm..." and are installed along with KLayout. - strm2cif: converts any format to CIF - strm2dxf: converts any format to DXF - strm2gds: converts any format to GDS2 - strm2gdstxt: converts any format to GDS2/text - strm2oas: converts any format to OASIS - strm2txt: converts any format to plain text (internally) - strmclip: creates a clip from a file - strmcmp: compares two files (logically) - strmxor: compares two files (geometrically) For more information, use the "-h" or "--help" option. * Enhancements: HTTPS support for loading files from URL's directly * Enhancements: Numerous enhancements and optimizations in the RBA/pya area and in the debugger. * Enhancements: KLayout notifies the user when a file was changed and asks whether to reload. * Enhancements: "File cell or layer": type into the cell or layer list to jump to the cell name typed. * Enhancements: Advanced search features in the filter entry box for the cell selection form: Case sensitive/insensitive, glob pattern, clear button. * Enhancements: Tree expansion icons have a better contrast now on Windows with dark background. * Enhancements: Forward and backward navigation buttons in the main window. These buttons jump to the previous or next display state: zoom window, hierarchy depth, etc.. * Enhancements: A Package manager is provided. Packages are add-ons for KLayout and can include macros, script libraries, fonts for the TEXT PCell, technologies, DRC scripts etc. Packages can be published on GitHub or any server supporting WebDAV. After registering a package, users can install or update packages with a few clicks. For more information see https://github.com/klayoutmatthias/klayout/wiki or "About Packages" in the "Various Topics" area of the main documentation. The package manager is found in the "Tools" menu under "Manage Packages". * Enhancements: LEF/DEF reader: - Compatibility fixes with version 5.8 - Regions and Groups are supported now - LEF/DEF is a standare format now and can be used with "File/Open" for example. * Enhancement: DXR reader supports variable path widths now * Enhancement: XOR provides graphical progress * Enhancements: Libraries can be associated with a technology. Only libraries associated with the selected technology are shown in the selection dialog. * Enhancements: Overlapping instances are easier to select now (instance selection cycling). * Enhancements: Many more methods in RBA/pya. For example: - Ability to directly insert "D" types (such as DBox into layouts and cells. - RBA::RecursiveShapeIterator now supports complex (non-rectangular) search regions - A more consistent type framework (consistent complex transformation types, vectors) - More intuitive interface in certain objects (i.e. CellView, LayerPropertiesNode, Annotation etc.). Changing an attribute usually has an immediate effect on the respective property now ("live objects") - Hash functions for certain objects - Box, Edge, Trans, Polygon, and other objects can be used as dictionary keys now. - New import methods for Region, EdgePairs and Edges - New features for LayerMapping and CellMapping - Multiple selected cells in LayoutView - RBA::TextGenerator to supply the Basic.TEXT PCell's functionality as static feature - Receivers can be added to signals and removed from signals now. - Individual instance iterator for CellInstArray - ... * Enhancements: Enhanced layout statistics form with detailed shape statistics. * Enhancements: Line styles (dashed, dotted etc.) available now. Works best with manhattan layouts. * Enhancements: "Marked box mode": boxes are drawn with a cross. * Enhancements: Arbitrary pattern size for custom fill pattern (no limited to multiples of 8). Max size is 32x32. Enhanced annotations: - Ellipses - Position markers - Text alignment - "Auto measure" rulers (place a ruler measuring the distances between adjacent objects with a single click) * Enhancements: DRC enhancements: - Text support - "smoothed" function - "layers" function delivers all layers read - Polygon with edge interactions - middle and extent_refs methods for center point and other references - "corners" function - "collect...", "select" and "each" methods * Enhancements: GDS2 paths can now use the MultiXY extension too. * Enhancements: X2 Support for Gerber import. * Enhancements: A function to reset the window state after the window has been misconfigured (i.e. detaching or closing the dockable windows). This feature is found in View/Restore Window.
2018-07-07Updated cad/gtkwave from 3.3.89 to 3.3.91mef2-7/+7
3.3.90 08may18 For Cut Traces, fix up scroll position if there are traces above the current row being cut. Bits to real crash fix for very large floats. Fixed gray code conversions that were incomplete for right justified vectors such that the vector length is not a multiple of the radix size (4 for hex, 3 for oct). Warray-bounds warning fix for 32-bit conversions in BitsToReal. 3.3.91 29may18 Added support for GSettings for when GConf is removed from distributions such as Debian and Ubuntu. Performance fix for large number of groups (remove useless recursion required for transaction traces).
2018-07-07Updated cad/py-gds from 1.2.1 to 1.3.1mef2-7/+7
### Version 1.3.1 (Jun 29, 2018) * `PolygonSet` becomes the base class for all polygons, in particular `Polygon` and `Rectangle`. * Added `Cell.remove_polygons` and `Cell.remove_labels` functions to allow filtering a cell contents based, for example, on each element's layer. * Added `PolygonSet.scale` utility method. * Added `PolygonSet.get_bounding_box` utility method. * Added argument `timestamp` to `Cell.to_gds`, `GdsLibrary.write_gds` and `GdsWriter`. * Added `unit` and `precision` arguments to `GdsLibrary` initialization and removed from its `write_gds` method. * Changed the meaning of argument `unit` in `GdsLibrary.read_gds`. * Improved `slice` to avoid errors when slicing in multiple positions at once. * Improved `PolygonSet.fracture` to reduce number of function calls. * Removed incorrect absolute flags for magnification and rotation in `CellReference` and `CellArray`. * Minor bug fixes. * Documentation fixes. * Removed deprecated classes and functions.
2018-07-04*: Move SUBST_STAGE from post-patch to pre-configurejperkin4-9/+9
Performing substitutions during post-patch breaks tools such as mkpatches, making it very difficult to regenerate correct patches after making changes, and often leading to substituted string replacements being committed.
2018-07-03extend PYTHON_VERSIONS_ for Python 3.7adam1-2/+2
2018-06-24Work around patch for missing _CHECK_SYMBOL_EXISTS in cmake-3.11 by @tristelomef2-1/+18
https://lists.launchpad.net/kicad-developers/msg35240.html | To: Wayne Stambaugh <stambaughw@xxxxxxxxx> | From: Seth Hillbrand <seth.hillbrand@xxxxxxxxx> | Date: Fri, 30 Mar 2018 14:15:45 -0700 | Cc: KiCad Developers <kicad-developers@xxxxxxxxxxxxxxxxxxx> | Hi Adam- | | You will need to downgrade to CMake 3.10 or lower. In 3.11, they revised | the internals of CheckSymbolExists. | | Our macro depends on the internal CMake macro _CHECK_SYMBOL_EXISTS (note | the underscore at the beginning). In 3.11, they replaced it with | __CHECK_SYMBOL_EXISTS_IMPL. | | -S @tristelo, again, provided work around as one line patch at https://gist.github.com/steleto/15794a8b623577911b115813b7ef0ec3 Thanks,
2018-04-29revbump for boost-libs updateadam3-6/+6
2018-04-29*: PKGREVISION bump for wxGTK30 switch from gtk2 to gtk3wiz1-2/+2
2018-04-17cad/gtkwave updated to 3.3.89mef2-8/+7
3.3.89 17mar18 Added support for 32-bit conversions in BitsToReal. Crash fix for pattern search with reals using LXT, LXT2, VZT.
2018-04-16Recursive bump for new fribidi dependency in pango.wiz10-20/+20
2018-04-12Update cad/MyHDL from 0.9.0 to 0.10mef3-11/+31
pkgsrc packages altered: - cad/MyHDL-gplcver - cad/MyHDL-iverilog - cad/py-MyHDL upstream changelog ------------------------ What’s new in MyHDL 0.10 The block decorator Rationale The historical approach for hierarchy extraction in MyHDL suffers from significant issues. This results in complex code, a number of non-intuitive API concepts, and difficulties for future development. In this release, a new block decorator is introduced to address these issues. For an in-depth discussion, see mep-114. API block() :noindex: The block decorator enables a method-based API which is more consistent, simplifies implementation, and reduces the size of the myhdl namespace. The methods work on block instances, created by calling a function decorated with the block decorator: @block def myblock(<ports>): ... return <instances> inst = myblock(<port-associations>) # inst supports the methods of the block instance API The API on a block instance looks as follows: <block_instance>.run_sim(duration=None) Run a simulation “forever” (default) or for a specified duration. <block_instance>.config_sim(backend='myhdl', trace=False) - Optional simulation configuration: - backend: Defaults to ‘myhdl - trace: Enable waveform tracing, default False. <block_instance>.quit_sim() Quit an active simulation. This is method is currently required because only a single simulation can be active. <block_instance>.convert(hdl='Verilog', **kwargs) - Converts MyHDL code to a target HDL. - hdl: ‘VHDL’ or ‘Verilog’. Defaults to Verilog. - Supported keyword arguments: - path: Destination folder. Defaults to current working dir. - name: Module and output file name. Defaults to self.mod.__name__. - trace: Whether the testbench should dump all signal waveforms. Defaults to False. - testbench: Verilog only. Specifies whether a testbench should be created. Defaults to True. - timescale: timescale parameter. Defaults to ‘1ns/10ps’. Verilog only. <block_instance>.verify_convert() Verify conversion output, by comparing target HDL simulation log with MyHDL simulation log. <block_instance>.analyze_convert() Analyze conversion output by compilation with target HDL compiler. Backwards compatibility issues In the 0.10 release, the old API still available next to the new API based on the block decorator. It is likely that the old API will be deprecated in a future release, resulting in backwards incompatibility for legacy code. Therefore, users are encouraged to start using the new API in their development methodology.
2018-03-30Correction to failure of fetch. (Py library needs tweek to GITHUB_PROJECT)mef1-2/+2
Thanks joerg for the report.
2018-03-24Optimistically remove MAKE_JOBS_SAFE=no.joerg1-3/+1
2018-03-17(cad/py-gdscad) PYTHON_VERSIONS_INCOMPATIBLE= 34 35 36mef1-2/+2
2018-03-17Added cad/py-gdscad version 0.4.5mef1-1/+2
2018-03-17cad/py-gdscad: import py27-gdsCAD-0.4.5mef4-0/+84
gdsCAD is a simple, but powerful, Python package for creating, reading, and manipulating GDSII layout files. It's suitable for scripting and interactive use. It excels particularly in generating designs with multiple incrementally adjusted objects. gdsCAD uses matplotlib to visualize everything from individual geometry primitives to the entire layout.
2018-03-12Recursive bumps for fontconfig and libzip dependency changes.wiz22-34/+44
2018-03-08Updated cad/ng-spice 23 to 27mef3-11/+136
Ngspice-27, Aug 28th, 2017 ============ - New features: + check for correct inductor coefficients + add variable 'win_console' + --enable-relpath configure flag to set preprocessor flag HAS_RELPATH + sets search path for spinit relativ to current directory + The search path for .spiceinit is: current dir, HOME dir, USERPROFILE dir + new function stddev to calculate the standard deviation of all elements of a vector + xspice digital n-input x m-output look-up table gate + xspice digital model d_lut (digital n-input look-up table gate) + add variable $sharedmode (if shared ngspice is running), to be used in scripts + enable msys2 for mingw compilation + OMP support for bsim3v3.24 model + bsim3v3.24: add model parameter lmlt (Channel length scaling) + OMP support for BSIM4.5 model + update and extension of command 'wrdata' + option xmu to set damping in trapezoid integration + command 'linearize' will take time data from transient analysis vector if no circuit is loaded + two new commands: edisplay and eprvcd + 'edisplay' will show a list of all event nodes + 'eprvcd' will print all event nodes in vcd format + XSPICE 2D and 3D table models + add variable 'batchmode' which is set when command line option `-b' is active + enable backquote substitution for Visual Studio console apps + update how-to-ngspice-vstudio.txt + update visualc directory and project files, add XSPICE code model generation by VS2015 + allow access to 4 GB memory of 32 bit ngspice on 64 bit Windows, 8 TB for 64 bit ngspice + new variable `interactive' + xspice, introduce cm_message_printf() + add variable `width' to command "print line ..." + support msys2 + favor exponential temperatur equation if all parameters tc1, tc2 and tce are given for R + move libfftw3 sideways out of the ngspice tree + remove visual studio 2008 .vcproj files + visualc/sharedspice.vcxproj, updated and migrated with visual studio 2015 + `E..table {expr} {pairs}', `G..', allow an optional `=' after `table' + `E..value=', `G..value=', allow `=' being optional + introduce TCE, exponential temperature coefficient for R + allow `temper' to be recognised when preceded by '=' + allow cross-compilation, especially for MinGW-w64 + allow "plot xlog ylog" (equiv to "plot loglog") + extend qa test to hisimhv version 2 + update hisimhv model to version 2.2.0 + update hisim model to version 2.8.0 + .control variable "sqrnoise" to deliver noise data in squared representation + noise analysis, deliver results in V/sqrt(Hz) and A/sqrt(Hz) + add delvt0 for bsim4 models + bug fixes for diode model + allow a xspice circuit with exclusively xspice event nodes + man pages for cmpp ngmakeidx and ngproc2mod + implement `nint()' + everywhere: ln to the base e, log to the base e, log10 to the base 10 + allow an optional '=' in ".func foo() = {expr}" + bsim4, update to version 4.8 + update hisimhv to version 1.2.4 + cmc quality check for bsim3 model + nested indexing for vectors + option savecurrents to save current through all devices + option interp to plot interpolated raw file data + MS VS 2010 project file added for compatibility + XSPICE: new callback function cm_get_path - Bug fixes: + many, many ... as always Ngspice-26, Jan 4th, 2014 ============ - New features: + fft command may (optionally) use fftw3 library + add nint() rounding function + usage of 'temper' in behavioral devices + check for soa (safe operating area) in several device models + library processing rewritten completely to enhance ngspice compatibility (.lib) + include file processing rewritten to allow nesting and various absolute and relative path names (.inc) + asinh, acosh, atanh functions + shared ngspice option added: ngspice optionally compiles as a shared object or dynamic link library + use a hash table to massively speed up parsing the netlist + implement a very basic .if/.else block + implement a signed power function `pwr' for controlled sources + implement multiplier `m' in F, G source + apply Area Calculation Method (ACM) to the bsim3v3.3 model + implement `tc1', `tc2' instance parameters - Bug fixes: + many, many ... please see http://sourceforge.net/p/ngspice/ngspice/ci/master/tree/ and check the 'history' button on the upper right. + removed memory leaks Ngspice-25, Jan 4th, 2013 ============ - New features: + add temperature coefficients for B source + commands "mdump", "mrdump" to dump matrix to file + allow `time', `temper', and `hertz' in expressions with behavioral R, L, C + Enable ctrl-c to interrupt a simulation also for Windows GUI + tests/bsim4: use the standard CMC model qa check + implement exit code for command "quit" + .nodeset all = value + memristor code model in extradev + new scalable diode model including tunnel component + variable xtrtol may override the XSPICE reduction of trtol + XSPICE example: delta-sigma converter + XSPICE: new d_source model + new option noopac + bsim3: make additonal parameters accessible with @mxx[par] + enable Mac OS X compilation + option reseries = val + commands "snsave", "snload" + update hisim to version 2.7.0, new qa tests + hisimhv update to version 1.2.3, new qa tests + allow Ctrl-d to quit + jfet model temperature extension, tcv and bex parameter + speed up vector handling by hash table for vector address storage and retrieval + update PSS code - Bug fixes: + many, many ... please see http://ngspice.git.sourceforge.net/git/gitweb.cgi?p=ngspice/ngspice;a=shortlog;pg=0 + remove memory leaks + update tclspice for MS Windows + adms3 removed due to licensing issues Ngspice-24, Jan 29th, 2012 ============ - New features: + .csparam allows to tranfer a param into a vector in .control ... .endc + functions floor and ceil + syntax Gxxx n1 n2 TABLE {expression} = (x0, y0) (x1, y1) (x2, y2) + syntax Gxxx n1 n2 value={equation} or Exxx n1 n2 value={equation} + variable strict_errorhandling to exit ngspice with exit code 1 if any error occurs during circuit parsing + command altermod allows reading from file + command "devhelp" to show available devices and describe device parameters + command "inventory" to print the number of istances of each device. + function cph(vec) delivers phase from a vector without jumps at +-PI + enable 64 bit compilation in MS Visual Studio + --adms3 flag to compile with (experimental) adms code + still very experimental pss code + command 'remcirc' to remove a circuit from the list + command 'wrdata' aknowledges appendwrite and prints complex variables + allow comment lines inside of command files + path variable NGSPICE_INPUT + 'Filesource' code model - Bug fixes: + More on prototypes, type casts, reordering of functions, compiler warnings + fix a numerical problem in the hisim2 and hisimhv models + plot i(vdd) from a loaded rawfile + fix the guard for device generated internal nodes (via CKTmkVolt()) + reduce memory leaks for command 'reset' + parameter processing for nested .subckt + bsim4/b4noi.c: correct init the correlated noise slot in noiseDens and lnNdens vector + Many small bugs
2018-03-08Updated cad/gtkwave to 3.3.88mef3-8/+9
3.3.88 20feb18 Added --sstexclude command line option to prune unwanted clutter from the SST window. Updated "/View/Mouseover Copies To Clipboard" menu option to copying signal names into the clipboard so they can be pasted into text editors, etc. Fixed Write Save File to handle getting confused by initial cancel then retry. Updated v2k input/output declarations to handle unpacked arrays. Fix for pattern marks that could overshoot the left marker.
2018-03-01Updated cad/kicad{,-footprints,-doc,-i18n,-lib} to 4.0.7, provided the patch ↵mef16-4398/+11775
by @tristelo, the location of the patch is here: https://gist.github.com/steleto/7d03ea70a3b1d6dbd3ad9cc9424b0112#file-pkgsrc_kicad-4-0-7-patch Hopefull to fix PR/52996, but not checked on the paticular NetBSD release. Only checked on 8.99.12 so far. ----------------------------------------------------------- KiCad 4.0.7 Stable Release 2017-08-28 The KiCad project is proud to announce the latest stable release of KiCad. The 4.0.7 stable version contains critical bug fixes and other minor improvements since the last release. It also includes improved footprint, symbol, and 3D model libraries, translations, and documentation. The stable release version 4.0.7 is made from the stable 4.0 branch with bug fixes cherry picked from the development branch of KiCad. KiCad binaries are available or will be available in the near future for download for Windows, OSX and Linux, see the download page for guidance. http://kicad-pcb.org/post/release-4.0.7/ KiCad 4.0.6 Stable Release 2017-03-07 The KiCad project is proud to announce the latest stable release of KiCad. The 4.0.6 stable version contains critical bug fixes and other minor improvements since the last release. One other notable change was the replacement of the Avhttp library with the libcurl library when building the GitHub plugin. This should allow Linux distributions that are not compatible with the OpenSSL library license to distribute KiCad with the GitHub plugin enabled. http://kicad-pcb.org/post/release-4.0.6/ KiCad 4.0.5 Stable Release 2016-12-18 The KiCad project is proud to announce a new stable release of KiCad. The 4.0.5 stable version contains critical bug fixes and version string improvements since the last release. The stable release version 4.0.5 is made from the stable 4.0 branch with bug fixes cherry picked from the development branch of KiCad. KiCad binaries are available or will be available in the near future for download for Windows, OSX and Linux, see the download page for guidance. http://kicad-pcb.org/post/release-4.0.5/
2018-02-14cat/oce: Update 0.18.3.fhajny2-7/+7
- Fix some typos / some rephrasing in README - Update for vtk 8 - Fixed osx issue with xlocale - Added missing Precision.hxx header - Added missing header in Quantity_Color_1.hxx - Fixed MinGW issue in OSD_MemInfo.cxx - travis-ci (gcc-5/6/7, osx images) and appveyor updates (Mingw)
2018-02-08Get rid of spurious error message when started from a window managerhauke4-5/+23
Install man page
2018-02-07Upgrade to latest stable release 3.9.72, prodded by a buffer-overflowhauke5-179/+363
induced core dump. See <http://opencircuitdesign.com/xcircuit/changes.html> for upstream's changelog.
2018-01-28recursive bump after mpfr shlib major bumpwiz2-4/+4
2018-01-12Updated cad/py-gds to 1.2.1mef2-7/+7
### Version 1.2.1 (Dec 5, 2017) * `GdsLibrary` can be created directly from a GDSII file * Added return value to `GdsLibrary.read_gds` * Fix return value of `GdsLibrary.add` ### Version 1.2 (Oct 21, 2017) * Added new `gdsii_hash` function. * Added `precision` parameter to `_chop`, `Polygon.fracture`, `Polygon.fillet`, `PolygonSet.fracture`, `PolygonSet.fillet`, and `slice`. * Included labels in flatten operations (added `get_labels` to `Cell`, `CellReference`, and `CellArray`). * Fixed bug in the bounding box cache of reference copies. * Fixed bug in `_chop` that affected `Polygon.fracture`, `PolygonSet.fracture`, and `slice`. * Other minor bug fixes.
2018-01-11Updated cad/gtkwave to 3.3.87mef2-7/+7
3.3.87 28dec17 Added missing prototype for ghw_read_sm_hdr in ghwlib.h. Made intptr_t changes vs long during casting for win64. Warnings fixes. Re-enable twinwave for Win32/64. Added missing gtkwave_bin_launcher.sh in contrib/bundle_for_osx Makefile.am.
2018-01-08PKGNAME to be derived from DISTNAMEmef1-2/+3
2018-01-07force use of builtin for alloca(3).plunky2-6/+9
This fixes warnings on NetBSD, maybe also build on SmartOS
2018-01-07Fix indentation in buildlink3.mk files.rillig2-4/+4
The actual fix as been done by "pkglint -F */*/buildlink3.mk", and was reviewed manually. There are some .include lines that still are indented with zero spaces although the surrounding .if is indented. This is existing practice.
2018-01-07Update to 2.6.2wen3-9/+9
Upstream changes: Release Notes for gerbv-2.6.2 ======================================================================== This is a minor patch release on top of gerbv-2.6.1. The change is to property create the tarball with the build framework so that the automake/autoconf/etc generated files are included. ======================================================================== Release Notes for gerbv-2.6.0 ======================================================================== The following is a summary of the changes which went into gerbv-2.6.0. For a complete list of changes, refer to ChangeLog. This release is primarily a bug fix release.
2018-01-01Sort PLIST files.rillig4-22/+22
Unsorted entries in PLIST files have generated a pkglint warning for at least 12 years. Somewhat more recently, pkglint has learned to sort PLIST files automatically. Since pkglint 5.4.23, the sorting is only done in obvious, simple cases. These have been applied by running: pkglint -Cnone,PLIST -Wnone,plist-sort -r -F