summaryrefslogtreecommitdiff
path: root/cad
AgeCommit message (Collapse)AuthorFilesLines
2007-03-24Prepare for switching to NO_MTREE=yes.joerg1-1/+3
2007-03-12Bump PKGREVISION for cmake buildlink3.mk bump.wiz1-1/+2
XXX: I have to check vtk*'s PLISTs and will do so next, but this PKGREVISION bump is needed anyway.
2007-03-08Sort.wiz1-2/+2
2007-03-08Also expand X11BASE.joerg1-2/+2
2007-03-07Replaced sed(1) and mv(1) with the SUBST framework.rillig1-14/+9
2007-03-07Modular Xorg support. Make it actually use the wrapper framework.joerg4-30/+42
The build script overwrote PATH and didn't see the wrapper scripts for that reason.
2007-03-02no need to explicitly use -lpng, pkg-config --libs libpng takes care of thatdmcmahill2-4/+4
2007-03-02- don't overwrite LDFLAGS but rather append the stuff we need.dmcmahill3-11/+13
- add missing -lm (how did this work before?) - remove one last GNU make-ism since there is a portable way of doing it
2007-03-02Update to dinotrace-mode-9.3cdmcmahill2-8/+8
* Dinotrace 9.3c 1/2/2007 *** Add signed decimal radix. [by Uwe Bonnes] *** Builds now take place in "obj_dir" to keep them distinct from sources. **** Fix VCD files with 10 or 100 femtosecond resolution. **** Fix dinotrace.el complaint about bad verilog-mode.el version. [Joseph Holtgrefe] **** Fix core dump when no fonts are available. [Dmitri Belimov] * Dinotrace 9.3b 3/13/2006 *** Fix line number incrementing in Verilog errors. [Uwe Bonnes] * Dinotrace 9.3a 6/13/2005 *** Fix too small buttons under openmotif. *** Fix missing keyboard accelerators under openmotif. * Dinotrace 9.2b 5/03/2005 *** The default extension for Verilog dumps is now .vcd instead of .dmp. **** Fix traces containing only real numbers. [Vitor Antunes] **** Fix segfault when doing signal adds. [Guy Hutchinson]
2007-03-02Update to dinotrace-9.3cdmcmahill2-8/+8
* Dinotrace 9.3c 1/2/2007 *** Add signed decimal radix. [by Uwe Bonnes] *** Builds now take place in "obj_dir" to keep them distinct from sources. **** Fix VCD files with 10 or 100 femtosecond resolution. **** Fix dinotrace.el complaint about bad verilog-mode.el version. [Joseph Holtgrefe] **** Fix core dump when no fonts are available. [Dmitri Belimov] * Dinotrace 9.3b 3/13/2006 *** Fix line number incrementing in Verilog errors. [Uwe Bonnes] * Dinotrace 9.3a 6/13/2005 *** Fix too small buttons under openmotif. *** Fix missing keyboard accelerators under openmotif. * Dinotrace 9.2b 5/03/2005 *** The default extension for Verilog dumps is now .vcd instead of .dmp. **** Fix traces containing only real numbers. [Vitor Antunes] **** Fix segfault when doing signal adds. [Guy Hutchinson]
2007-03-02add and enable nelmadmcmahill1-1/+2
2007-03-02import nelma-3.0dmcmahill6-0/+139
Nelma is a (command line) tool for numerically calculating various electrical properties of printed circuit boards or similar objects composed of conductors and dielectrics (however code is optimized for circuit board-like geometry). It is currently capable of calculating capacitances between objects - nets on a PCB. It returns a spice-compatible description of an equivalent circuit of stray capacitances that can be for example used for more accurate circuit simulation. Alternatively it can also produce field data that can be plotted for example with Gnuplot. Support for calculating resistances existed for a while but was later removed because it didn't receive much testing. Nelma is available under the GNU General Public License version 2.
2007-03-01update to verilog-current-20070227dmcmahill2-6/+6
Release Notes for Icarus Verilog Snapshot 20070227 * Fix some problems with specify block parsing. Detect some cases that are parsed but not properly implemented yet and issue warnings or errors. Also fixed a few problems with inertial delay model timing. * Detect is some cases Verilog source errors that can be better reported to users. This includes more specific error messages for certain syntax errors. * Fix problems with overridden continuous assignments. * Hide bool types from logic type as far as VPI is concerned, for the sake of compatibility. * Fix a variety of code generator expression lifetime bugs that caused obscure (and wrong) output results in behavioral code. * iverilog-vpi uses the compiler selected at build time. * Rework handling of strings to handle escape sequences properly. * Fix some handling of real values in some expression types. * Get padding of sized, unsigned numbers when x or z are involved. * Many, many more misc. bug fixes. * Add an assert mechinism that improves usefulness of bug reports by reporting source file line numbers when available. * Compile fixes, using inttypes.h instead of stdint for portability. * Various spelling fixes.
2007-02-24enable sparc64, mipsel{,64}dmcmahill1-3/+5
2007-02-24fix WRKSRC and PLIST for x86_64dmcmahill1-2/+8
2007-02-24fix WRKSRC and PLIST for x86_64dmcmahill1-2/+2
2007-02-24fix permissions on the installed docs and examplesdmcmahill1-2/+5
2007-02-24add missing *-*-x86_64 to ONLY_FOR_PLATFORM and update distinfo with the latestdmcmahill2-6/+6
2007-02-24install the docs toodmcmahill2-3/+117
2007-02-23take a guess at the correct dependencies for NetBSD/x86_64dmcmahill1-1/+5
2007-02-23fix the list of suse pkgs we need on netbsd/i386dmcmahill1-2/+3
2007-02-23remove an obsolete commentdmcmahill1-2/+1
2007-02-23add and enable lcdmcmahill1-1/+2
2007-02-23Initial import of lc-2.10dmcmahill4-0/+133
LC is simulation tool for the analysis of the electromagnetic properties of electrical interconnects. The full three-dimensional circuit is modeled, so all interactions are automatically included in the solution. The model can be excited by numerous types of waveforms, and the transient response measured using common values such as voltage and current. Circuit parameters such as inductance, capacitance, and impedance can be derived from the transient response, and frequency-domain results such as S-parameters can also be calculated. Far field radiation patterns can be obtained. LC is primarily an electromagnetic simulation and uses the Finite-Difference Time-Domain (FD-TD) technique. FD-TD is a full wave explicit solution of Maxwell's equations in three dimensions. In FD-TD, the rectangular volume enclosing the model is discretized into a large number of small cells, which may be uniformly-sized, or may vary in size within the simulation space. The dielectric, permeable, lossy, and conducting material properties of each cell are incorporated into the field updates, which are performed iteratively in small time steps. An interface between the electromagnetic simulation and the circuit simulation program SPICE is available within LC. This allows the user to add arbitrary SPICE circuits, such as drivers and loads, into the interconnect model. The interconnect performance is calculated using FD-TD while the lumped-element circuits are evaluated by SPICE.
2007-02-23pkglint cleanup.wiz4-9/+9
2007-02-23Update to 6.92. Clean up package. Fix build with gcc4.wiz11-383/+313
version 6.9 Update for wxWidgets 2.6.2
2007-02-23add a few missing items to the PLISTdmcmahill2-2/+6
2007-02-22Whitespace cleanup, courtesy of pkglint.wiz9-27/+27
Patch provided by Sergey Svishchev in private mail.
2007-02-22pkglint cleanup; update HOMEPAGE/MASTER_SITES.wiz3-6/+6
From Sergey Svishchev in private mail.
2007-02-22add missing entrydmcmahill1-1/+2
2007-02-21Update to verilog-current-20070123dmcmahill2-6/+6
Release Note for Icarus Verilog Snapshot 20070123 Handling of arrays has been rewritten to allow support for net arrays. This caused ivl_target API changes, as well as elaboration and vvp run time changes. There may be bits of the old method lingering in the source code. Improve support for constant power (**) expressions, and other constant exppressions that are passed to functions/tasks. Improve elaboration of for-loop increment expressions. There were some bugs there that are not fixed. Fix argument width calculations for shift operations. Constant ector expressions can have real constants. Handle this at run time where needed. Fix some bad lookaside optimizations for assignments to l-value part selects.
2007-02-21part of update to 20070216dmcmahill1-0/+14
2007-02-21Update to 20070216 snapshot. Many changes and improvements since thedmcmahill25-517/+126
last snapshot. A highly abbreviated list is: libgeda: - fixes to postscript output - fixes to arc handling - added some functions for embedding and deembedding symbols - many changes to the internal data structure. - fix a bug which could cause missing connectivity on a bus - improvements to attribute handling - fix a bunch of compiler warnings. - various other bug fixes gschem: - improvements and bug fixes to the autonumber code - improvements to the various file selection dialogs - improvements to attribute handling - improvements to the internal data structure - various other bug fixes gnetlist: - fixes for guile-1.8 - update the gsch2pcb backend to allow running or never running m4 for pcb footprints. - changed "package" to "refdes" in the BOM/BOM2 backends - added a drc2 regression test - bugfix in the allegro backend - other misc fixes symbols: - added 4 missing characters to complete the hungarian character set - add greek mu gsymcheck: - man page improvements gattrib: - enhanced sorting functions - various bug fixes utils: - add an option to gsch2pcb to let the user prevent m4 from ever being run when looking for pcb footprints. - let gsch2pcb look both for "name" and "name.fp" when looking for a footprint called "name". - use some configure options to set the default gsch2pcb footprint search paths rather than hardcoding them. - added a gnet_hier_verilog.sh script to do non-flattened verilog netlisting - added options to gschlas to embed or de-embed all symbols in a schematic
2007-02-15Made the package code a little simpler.rillig1-4/+3
2007-02-09update to pcb-20070208p1dmcmahill3-26/+1644
======================================================================== Release Notes for PCB snapshot 20070208 ======================================================================== - Add polygon clipping code. This is a big change to how polygons are handled. The new code now removes islands and correctly identifies open circuits caused by a trace fully cutting through a polygon. In addition, the RS-274-X output is now simpler and works with some board houses that use older non-conforming sofware. Different styles for thermal reliefs are also now supported as part of the polygon clipper code. - Add support for plugins - Many improvements to the autorouter. - Various improvements to the trace optimizer. - Add a fontmode for editing pcb fonts - Add progress() hook to HID structure - Fix a bug with non-functional windows on some window managers commonly found on OS-X - Add support for controlling pcb via dbus - Fix various bugs which would cause a crash - Add --scale for postscript scaling - Intercept window manager delete events with the GTK gui - Scan the .pcb file for a FileVersion value. This is not written out yet but will be in future versions. - Warn if non-manhattan lines are trying to become pads. - Allow no-solder paste pads to support fiducials - Report in mm or mils as selected by user - Allow reordering of layers - add some more QFN packages - fix building with sun studio c compiler - Made a pcb installation be relocatable. - Convert the m4 libraries to newlib libraries as part of building a distfile. The m4 libraries are still considered the sources and as such are still distributed but this eliminates the need for m4 at runtime for footprints. - Got rid of the pcb wrapper script around pcb-bin. - Remove some old footprints of questionable naming, accuracy, or usefulness. - Get the autosave/backup code working on all GUI's - Fix some drill size rounding in the reports - Changed the backup file name to be derived from the .pcb file name - Added a command line option for DrawGrid - Fix logic for adding new ratlines - Fix gtk grid when board is flipped - Add "find" and "rip-up" buttons to the netlist window - Draw plated holes when exporting - Fix some bugs when converting selection to element - Fix build on cygwin - Enhance the win32/build_pcb script used to generate a non-cygwin windows installer. - Make pcb work under non-cygwin windows
2007-02-05Update py-simpy to 1.8markd2-9/+8
SimPy 1.8 is a major release with new capabilities, improved documentation and bug fixes. It is fully backwards compatible with previous versions. From SimPy 1.8 on, the obsolete Python version 2.2 is no longer supported. Some of the changes and improvements are: * New compound yield statements, supporting time-out or event-based reneging in 'get' and 'put' operations on Store and Level resources. * yield get on a Store resource can now have a filter function which selects which and how many items (e.g. "3 parcels weighing less than 3 pounds") should be retrieved. * The Manual has been thoroughly edited, restructured and rewritten with a view to greater clarity and readability. It is provided in both HTML and PDF format. * The Cheatsheet has been completely rewritten in a tabular format and reduced to just a few pages. The goal was to turn it into a concise desktop reference of SimPy commands. The Cheatsheet is provided in both XLS (MS Excel spreadsheet) and PDF format. SimPy 1.7.1 is a minor (maintenance) release which fixes a few bugs in 1.7. The SimPy 1.7.1 API is identical to that of version 1.7. SimPy 1.7 is fully compatible with version 1.6.1. This major release brings significant new capabilities for the SimPy modeller and thus a broader field of applicability to SimPy. It is now very easy to implement models for scenarios involving: * producer/consumer relationships between processes, * multi-process cooperations, * multi-resource requests, and * consumable resources.
2007-01-30Modular Xorg support.joerg1-2/+3
2007-01-30Modular Xorg support.joerg1-3/+2
2007-01-26No need to include x11.b3.mk explicitly.joerg1-2/+1
2007-01-23fix this package when building with sunpro compilersdmcmahill2-6/+18
2007-01-22Get this going with sunpro compilers. Gets rid ofdmcmahill3-5/+55
Error: An integer constant expression is required within the array subscript operator. VS: ----------------------------------------------------------------------
2007-01-20LOCALBASE should be used instead of PREFIX when referring to existingrillig3-6/+6
files. This allows setting INSTALLATION_PREFIX for the package.
2007-01-17add missing USE_PKGLOCALEDIR which broke some of the wcalc pacakges on solarisdmcmahill1-1/+3
2007-01-16Instead of hardcoding 'gcc' as the c++ compiler (not g++ but gcc), usedmcmahill6-3/+73
AC_PROG_CXX to find a c++ compiler. This fixes building with sunpro. The result seems to run ok. Patches submitted upstream.
2007-01-09Add an option to allow a batch mode pcb to be built. Useful for webserverdmcmahill1-4/+8
environments.
2007-01-08Fixed PKGMANDIR.rillig1-1/+2
2007-01-08Modular Xorg support.joerg1-2/+3
2007-01-07PKGREVISION bump for flac shlib major bump and corresponding ABIwiz1-2/+2
depends bump.
2007-01-07Mechanically replaced man/* with ${PKGMANDIR}/* in the definition ofrillig1-7/+7
INSTALLATION_DIRS, as well as all occurrences of ${PREFIX}/man with ${PREFIX}/${PKGMANDIR}. Fixes PR 35265, although I did not use the patch provided therein.
2007-01-02Fixed file permissions. 3270 files had been installed world-writable.rillig1-3/+7
PKGREVISION++