From c85c90e0cf6b8478ab7a9d74c347035dda3affe3 Mon Sep 17 00:00:00 2001 From: dmcmahill Date: Thu, 15 Feb 2001 07:18:57 +0000 Subject: add verilog support. tried to contact the last known author/maintainer for lgrind to feed patch back to, but email bounced. --- print/lgrind/files/patch-sum | 3 ++- print/lgrind/patches/patch-ad | 41 +++++++++++++++++++++++++++++++++++++++++ 2 files changed, 43 insertions(+), 1 deletion(-) create mode 100644 print/lgrind/patches/patch-ad diff --git a/print/lgrind/files/patch-sum b/print/lgrind/files/patch-sum index 4f306f8700f..91185dee95a 100644 --- a/print/lgrind/files/patch-sum +++ b/print/lgrind/files/patch-sum @@ -1,5 +1,6 @@ -$NetBSD: patch-sum,v 1.4 1999/12/16 14:34:10 agc Exp $ +$NetBSD: patch-sum,v 1.5 2001/02/15 07:18:57 dmcmahill Exp $ MD5 (patch-aa) = 5740cc59ff082b0add5f3d77e98c785c MD5 (patch-ab) = bcedbe32593a745bc14a51fc76276757 MD5 (patch-ac) = 1edab79e59972bd931bbd73e85181fb3 +MD5 (patch-ad) = 083848bafcf403c2a87ff9997660ab07 diff --git a/print/lgrind/patches/patch-ad b/print/lgrind/patches/patch-ad new file mode 100644 index 00000000000..c8706549671 --- /dev/null +++ b/print/lgrind/patches/patch-ad @@ -0,0 +1,41 @@ +$NetBSD: patch-ad,v 1.1 2001/02/15 07:18:57 dmcmahill Exp $ + +--- ../lgrindef.orig Tue Aug 4 09:01:00 1998 ++++ ../lgrindef Wed Feb 14 22:34:26 2001 +@@ -685,4 +685,30 @@ + tk_popup tkwait toplevel trace unknown unset update uplevel upvar while winfo wm: + ++# verilog. Written by Dan McMahill ++Verilog:\ ++ :pb=\dmodule\d?\p:np=\)\d;:bb=begin\d:be=end\d:\ ++ :cb=/*:ce=*/:sb=":se=\e":\ ++ :tl:ab=//:ae=$:id=_$`:\ ++ :zb=@:ze=@:tb=%%:te=%%:mb=%\$:me=\$%:vb=%\|:ve=\|%:\ ++ :kw=always and assign attribute begin buf bufif0 bufif1 case casex \ ++ casez cmos deassign default defparam disable edge else end endattribute \ ++ endcase endfunction endmodule endprimitive endspecify \ ++ endtable endtask event for force forever fork function highz0 highz1 if initial \ ++ inout input integer join large macromodule medium module nand negedge nmos nor \ ++ not notif0 notif1 or output parameter pmos posedge primitive pull0 pull1 \ ++ pulldown pullup rcmos real realtime reg release repeat rnmos rpmos rtran \ ++ rtranif0 rtranif1 scalared signed small specify specparam strength strong0 \ ++ strong1 supply0 supply1 table task time tran tranif0 tranif1 tri tri0 tri1 \ ++ triand trior trireg unsigned vectored wait wand weak0 weak1 while wire wor \ ++ xnor xor\ ++ $bitstoreal $countdrivers $display $fclose $fdisplay $finish $fmonitor \ ++ $fopen $fstrobe $fwrite $getpattern $history $incsave $input $itor $key \ ++ $list $log $monitor $monitoroff $monitoron $nokey $time \ ++ `accelerate `autoexpand_vectornets `celldefine `default_nettype `define \ ++ `else `endcelldefine `endif `endprotect `endprotected `expand_vectornets \ ++ `ifdef `include `noaccelerate `noexpand_vectornets `noremove_gatenames \ ++ `nounconnected_drive `protect `protected `remove_gatenames `remove_netnames \ ++ `resetall `timescale `unconnected_drive: ++ + + # JL - Added visbasic 6 Aug 1996. Note: this is not complete! +@@ -748,4 +774,5 @@ + :pro=prolog:\ + :m=matlab:\ ++ :v=verilog:\ + :f=f77:F=f77:for=f77: + -- cgit v1.2.3