summaryrefslogtreecommitdiff
AgeCommit message (Collapse)AuthorFilesLines
2016-10-09Import py-nosexcover-1.0.10 as devel/py-nosexcover.wiz4-0/+45
Extends nose.plugins.cover to add Cobertura-style XML reports. Includes nose-xmlcover, a companion to the built-in nose.plugins.cover, this plugin will write out an XML coverage report to a file named coverage.xml.
2016-10-09Updated sysutils/open-vm-tools to 10.0.7ryoon1-1/+2
2016-10-09Update to 10.0.7ryoon91-1781/+1128
The only following features are tested on NetBSD/amd64 7.99.39 without vmt(4) on VMware Workstation 12 Player 12.0.5 for Windows or ESXi 6.0u2. * Hostname and IP address report * Shutdown and reboot operations from host * Copy and paste of text string between host and guest * Guest clock sync The other features are not tested. For example, * Drag and drop between host and guest * VM HA, heartbeat * HGFS, shared folder Changelog: What's New VMware Tools is a suite of utilities that enhances the performance of the virtual machine's guest operating system and improves management of the virtual machine. Read about the new and enhanced features in this release below: Common versioning: Infrastructure changes to enable reporting of the true version of open-vm-tools. This feature is dependent on host support. Quiesced snapshots enhancements for Linux guests running IO workload: Robustness related enhancements in quiesced snapshot operation. The vmtoolsd service supports caching of log messages when guest IO has been quiesced. Enhancements in the vmbackup plugin use a separate thread to quiesce the guest OS to avoid timeout issues due to heavy I/O in the guest. Shared Folders: For Linux distributions with kernel version 4.0.0 and higher, there is a new FUSE based Shared Folders client which is used as a replacement for the kernel mode client. ESXi Serviceability: Default vmtoolsd logging is directed to a file instead of syslog. vmware-toolbox-cmd is enhanced for setting vmtoolsd logging levels. GuestInfo Enhancements: Plugin enhancements to report more than 64 IP addresses from the guest. These enhancements will be available only after upgrading the host because the guest IP addresses limit also exists on the host side. Internationalization open-vm-tools 10.0.0 supports the following languages: English French German Spanish Italian Japanese Korean Simplified Chinese Traditional Chinese Compatibility open-vm-tools 10.0.0 is compatible with all supported versions of VMware vSphere, VMware Workstation 12.0 and VMware Fusion 8.0.
2016-10-09Fix PKGNAME to match buildlink3.mk and other orcus packagesryoon1-2/+2
2016-10-09Updated cad/MyHDL-gplcver to 0.9.0kamil1-2/+5
Updated cad/MyHDL-iverilog to 0.9.0 Updated cad/py-MyHDL to 0.9.0
2016-10-09Updated security/xml-security-c to 1.7.3ryoon2-3/+3
2016-10-09Update to 1.7.3ryoon2-8/+7
Changelog: Bug [SANTUARIO-378] - xml-security-c cannot initialise on a Windows system with mandatory user profiles [SANTUARIO-380] - Avoid use of PATH_MAX where possible [SANTUARIO-381] - Spelling error in xsec/enc/OpenSSL/OpenSSLCryptoSymmetricKey.cpp [SANTUARIO-384] - OpenSSLCryptoKeyEC::signBase64SignatureDSA fails most of time [SANTUARIO-400] - Buffer overwrite in WinCAPICryptoSymmetricKey::encrypt() (WinCAPICryptoSymmetricKey.cpp) [SANTUARIO-409] - Win32 unicode build breaks due to wchar_t * passed to GetProcAddress() [SANTUARIO-426] - xml-security-c-1.7.3 not getting build on AIX with xerces-c-3.1.2 Improvement [SANTUARIO-386] - Spec file patch to add RHEL7 support
2016-10-09Update MyHDL from 0.8.1 to 0.9.0kamil10-73/+106
pkgsrc packages altered: - cad/MyHDL-gplcver - cad/MyHDL-iverilog - cad/py-MyHDL pkgsrc changes: - Add common Makefile.common for MyHDL packages - 0.9.0 supports now Python 3.x - update LICENSE to gnu-lgpl-v2.1 - replace local patch in MyHDL-gplcver and use MAKE_FLAGS to enforce INCS - set CC in MyHDL-gplcver - setup test target in cad/py-MyHDL - share common distinfo - replace AUTO_MKDIRS with INSTALLATION_DIRS - switch MASTER_SITES to GitHub upstream changelog ================== What’s new in MyHDL 0.9 Python 3 support Experimental Python 3 support has been added to MyHDL 0.9. This was a major effort to modernize the code. As a result, Python 2 and 3 are supported from a single codebase. See Python 3 Support for more info. Interfaces (Conversion of attribute accesses) Rationale Complex designs often have many signals that are passed to different levels of hierarchy. Typically, many signals logically belong together. This can be modelled by an interface: an object that has a number of Signal objects as its attributes. Grouping signals into an interface simplifies the code, improves efficiency, and reduces errors. The following is an example of an interface definition: class Complex: def __init__(self, min=-2, max=2): self.real = Signal(intbv(0, min=min, max=max)) self.imag = Signal(intbv(0, min=min, max=max)) Although previous versions supported interfaces for modeling, they were not convertible. MyHDL 0.9 now supports conversion of designs that use interfaces. The following is an example using the above Complex interface definition: a,b = Complex(-8,8), Complex(-8,8) c = Complex(-128,128) def complex_multiply(clock, reset, a, b, c): @always_seq(clock.posedge, reset=reset) def cmult(): c.real.next = (a.real*b.real) - (a.imag*b.imag) c.imag.next = (a.real*b.imag) + (a.imag*b.real) return cmult Solution The proposed solution is to create unique names for attributes which are used by MyHDL generators. The converter will create a unique name by using the name of the parent and the name of the attribute along with the name of the MyHDL module instance. The converter will essentially replace the ”.” with an “_” for each interface element. In essence, interfaces are supported using hierarchical name expansion and name mangling. Note that the MyHDL convertor supports interfaces, even though the target HDLs do not. This is another great example where the convertor supports a high-level feature that is not available in the target HDLs. See also For additional information see the original proposal mep-107. Other noteworthy improvements ConcatSignal interface The interface of ConcatSignal was enhanced. In addition to signals, you can now also use constant values in the concatenation. std_logic type ports toVHDL() has a new attibute std_logic_ports. When set, only std_logic type ports are used in the interface of the top-level VHDL module. Development flow The MyHDL development flow has been modernized by moving to git and github for version control. In addition, travis has set up so that all pull requests are tested automatically, enabling continuous intergration. Acknowledgments The Python 3 support effort was coordinated by Keerthan Jaic, who also implemented most of if. Convertible interfaces were championed by Chris Felton, and implemented by Keerthan Jaic. MyHDL development is a collaborative effort, as can be seen on github. Thanks to all who contributed with suggestions, issues and pull requests.
2016-10-09Add libmspackryoon1-1/+2
2016-10-09Added archivers/libmspack version 0.5alpharyoon1-1/+2
2016-10-09Import libmspack-0.5alpha as archivers/libmspack from pkgsrc-wip/libmspack.ryoon5-0/+44
The purpose of libmspack is to provide compressors and decompressors, archivers and dearchivers for Microsoft compression formats: CAB, CHM, WIM, LIT, HLP, KWAJ and SZDD. It is also designed to be easily embeddable, stable, robust and resource-efficient.
2016-10-08Manually pin-point the release version of the 1.62.0 distfile.joerg2-9/+10
Regenerate distinfo.
2016-10-08Requires a newer xcb version.joerg1-2/+2
2016-10-08Renamed cad/verilog to cad/iverilogkamil1-1/+3
Updated cad/MyHDL-iverilog to 0.8.1
2016-10-08cad/verilog has been renamed to cad/iverilogkamil8-186/+0
Use saner and more specific name for this package. No objection for rename from <gdt>
2016-10-08Switch from cad/verilog to cad/iverilogkamil1-2/+2
No PKGREVISION bump as it was update as while ago.
2016-10-08Switch from cad/verilog to cad/iverilogkamil1-2/+3
Bump PKGREVISION to 1.
2016-10-08Add cad/iverilog (will replace cad/verilog)kamil1-1/+2
2016-10-08Import iverilog (Icarus Verilog) 10.1.1 as cad/iverilogkamil8-0/+182
It's a rename of cad/verilog to a better name. Updated DESCR for new package: Icarus Verilog is intended to compile ALL of the Verilog HDL as described in the IEEE-1364 standard. Of course, it's not quite there yet. It does currently handle a mix of structural and behavioral constructs. Icarus Verilog is not aimed at being a simulator in the traditional sense, but a compiler that generates code employed by back-end tools. No objections to rename from <gdt>
2016-10-08verilog-10.1.1 donekamil1-2/+1
2016-10-08Updated cad/verilog to 10.1.1kamil1-1/+2
2016-10-08Update cad/verilog (icarus verilog) from 0.9.7 to 10.1.1kamil6-135/+42
pkgsrc changes: - note GitHub tags (but not use them for now) - remove conflict with nonexistent verilog-current - install additional documentation in share/doc/ivl (not share/ivl) - drop DESTDIR gymnastics - build works without it - (re)enable gperf dependency - regenerate buildlink3.mk - drop patches/patch-lexor_keyword.cc - no longer needed - patches/patch-vpi_Makefile partially fixed upstream - rest not needed upstream changelog ================== Probably the only notes available: Here are the release notes for Icarus Verilog release branch 10. The 10 release is a huge improvement over the 0.9 release series, in every aspect. Much more of the Verilog and SystemVerilog language is supported, many bugs have been fixed, and performance has improved. The changes (improvements!) are so numerous that there is no point attempting to enumerate them. -- http://iverilog.wikia.com/wiki/Release_Notes_Icarus_Verilog_10
2016-10-08covered-0.7.10 donekamil1-5/+1
covered-current-20091126 package dropped verilog-current-20130827 package dropped verilog-current-20150513 package dropped
2016-10-08Updated cad/covered to 0.7.10kamil1-1/+2
2016-10-08Update cad/covered from 0.4.7 to 0.7.10kamil5-123/+156
Local changes: - set LICENSE (gnu-gpl-v2) - replace DEPENDS of verilog to blk3 - stop replacing shebang for WISH - no longer needed - stop helping to find tclConfig.sh and tkConfig.sh - no longer needed - comment rationale for -DUSE_INTERP_RESULT (TCL/TK compatibility) - drop patch-src_lxt2__read.c - fixed upstream (differently) - comment and regenerate patch-src_lxt2__read.h Upstream changelog (partial changes prior 0.7 not known) ================== 0.7.10 Stable release covered-0.7.10 made. This release updates the FST library to the latest version which contains some fixes and enhancements. Stable release covered-0.7.9 11/21/2010 01:03 AM Filed in: Releases Stable release covered-0.7.9 made. This release is a bug fix and minor feature enhancement release. Here are the details: Cleaned up error messages emitted from the clang utility. Updated GUI to use the ttk styled widgets for a more uniform look and allows the user to change the overall look of the GUI using a preference item. Handling issue where a CDD file was created in a different directory and we attempt to load it in a different environment. Previously, a stack trace was emitted rather than just the user error message. Updated copyright dates to include 2010 Added FST dumpfile scoring (new -fst option added to the score command to accommodate this option). Adding support for "wire real" and associated code to Verilog parser. Fixing issue with memory coverage. Fixing bug 3054545. When a merged CDD file was used in an exclude command, a segmentation fault would occur. Added support for constant assignment to reals. Added support for "parameter integer" and "parameter real". Added parsing support for the $fopenw system task. Added support for performing +: and -: part selection on the left-hand-side of assignment expressions. Fixed various memory overrun and memory leak issues that caused instability issues within the GUI. Fixed GUI combinational logic issue where incorrect highlighting/underlining was occurring for uncovered expressions. Fixed GUI issue with next/previous button traversal for combinational logic. Enhanced the regression suite to verify all of the new features mentioned above. Updated user guide HTML output to include the Covered banner to the top of each page. User guide and man pages have been updated per these changes. On a side note, active work on Covered's development branch(es) has stopped indefinitely. I plan to support the current feature set in the 0.7.x branch with possible minor enhancements as requested. Please feel free to continue to send me e-mail and/or submit bug reports against the 0.7.x stable releases. Stable release covered-0.7.8 03/24/2010 10:20 PM Filed in: Releases Stable release covered-0.7.8 made. This release is primarily a bug fix release, but it does contain a few new minor features and Verilog language enhancements. Here are the details: Fixed bug 2912587. Using the -f option with the merge command was causing errors. Fixed bug 2912679. If the GUI was invoked (i.e., covered report -view) and an error in command-line parsing occurred, Covered segfaulted. Added ability to specify the CDD on the report command-line when starting the GUI (i.e., covered report -view foobar.cdd) which will automatically load the specified CDD files into the GUI on startup. Feature request 2912698. Fixed bug 2925756. An expression surrounded by the parenthesis could cause a segmentation fault when parsing. Support has been added for NC-Verilog VPI usage. Fixed bug 2926579. Changing from a known value to an X value should cause no change in toggle coverage; however, when we transition back to a known value and it differs from the previously known value, we record a toggle coverage change. Example: 0 -> X -> 0 (no change in coverage), 0 -> X -> 1 (change in coverage). Fixed bug 2927285. Segmentation faults could occur when excluding FSM and combinational logic cases. Added support for the $clog system function call. Fixed bug 2929948. Assignments to a concatentation of signals could lead to segmentation fault. Fixed issue in the LXT2 reader that resulted in a memory leak. Fixed bug 2933112. Added full support for out-of-bounds assignment. Added new -T global option that provides a "terse" output which outputs the Covered header and warnings/errors only (less output than using none of the global output verbosity options). Feature request 2952492. Fixed bug 2960887. Adds support for creating a definition which contains no user value (i.e., `define FOO). Covered was incorrectly assigning a value of 1 to these types of defines. Fixed bug 2958529. Zero width replications are now supported by Covered (i.e., {0{a & b}}) Fixed bug 2974860. Fixed issue with FSM state input/output variables being output to an ASCII report file correctly. Added ability to allow the "trans" parameter to Covered FSM attributes to contain additional characters after it. Some simulators don't like Verilog attributes having the same name for multiple parameters. Feature request 2976039. User guide has been updated per these changes. Development release covered-20091126 11/26/2009 10:10 PM Filed in: Releases Development release covered-20091126 made. This is a bug fix release only. Stable release covered-0.7.7 10/24/2009 10:09 PM Filed in: Releases Stable release covered-0.7.7 made. This is a bug fix release only. Fixed compilation warnings when compiling on 64-bit Mac OS X and Debian-based platforms. Updates to build scripts to help downstream Debian releases builds. Fixed bug 2880705. $Id: keywords containing newlines are now handled properly. Additionally, fixing issues with multiply instantiated modules within a generate block. Fixed bug 2881869. Fixed a stack overflow issue in the gen_item_resolve function that would cause segmentation faults when too many items were being generated within a single generate block. Fixed bug 2882433. Fixed the "ERROR! Parameter used in expression but not defined in current module" error when a generated module instance has a parameter override of a parameter with the same name as the parameter within the module that contains the generate block. Stable release covered-0.7.6 08/24/2009 10:12 PM Filed in: Releases Stable release covered-0.7.6 made. This is a bug fix release only. Fixed misspelling in report generator code (misspelling showed up in text reports) Fixed issues with performing module merging with modules containing generate blocks configured differently for different instantiations of the same module. Stable release covered-0.7.5 08/02/2009 10:20 PM Filed in: Releases Stable release covered-0.7.5 made. This is a bug fix release only. Fixed bug 2808818. If a generate variable name collided with a reg/wire name, Covered was not emitting an error. Fixed bug 2808820. If no signal was used from the dumpfile and at least one signal needs information from the dumpfile, Covered needed to signal a user error. Fixed bug 2812321. Parameterized/generated modules could get incorrect coverage calculated for them. Fixed bug 2812495. Fixed a crash issue. There is another part to this bug report that is not fixed, however. Fixed bug 2813405. A design run with the -g score option caused the GUI to freeze when viewed. Fixed bug 2813948. Fixed assertion issue with merging scored and unscored CDD files. Development release covered-20090802 08/02/2009 10:19 PM Filed in: Releases Development release covered-20090802 made. This development release adds several performance enhancements and bug fixes to the new inlined code coverage flow, including the following: Adding support for $random and $urandom system calls to inlined coverage. Includes all fixes made to the stable 0.7.5 release. Adding support for $value$plusargs system calls to inlined coverage. Fixing issue with generated IF statements. Added user documentation for inlined coverage flow and score options. Fixing issue with generated code interrupting comma-separated assign statements. Performed code simplification and performance improvement with the way statements were handled internally. Removed unnecessary calls to simulation functions when using inlined code coverage (this added a performance penalty). Improved performance of inlined code generator for sizing generated signals. Fixed memory indexing issues related to memory coverage. Added support for static function and static ternary operators for inlined code coverage. Added code to differentiate functions used statically and not to do the right thing for inlined code coverage accumulation. Added vcd_diff script which checks the dumpfile output from non-inlined and inlined design files to verify that the inlined code generator does not change the result. This check is now a part of all inlined regression runs. Made several performance improvements to the VCD file reader. The reader is now 10-20% faster. Added support for Verilator regressions runs and ported a couple of diagnostics to Verilator format. Adding check to make sure that a CDD file without inlined mode set that reads a VCD file containing inlined coverage data emits an error to the user and exits gracefully. Added -inline-comb-depth score option to allow the user to specify a shallower combinational coverage depth to be generated -- improving inlined simulation and coverage performance. For Verilator runs, inserted pragmas around intermediate combinational logic expression signals to exclude them from being output to VCD files. This improves simulation and coverage performance for Verilator runs (other simulators that have a VPI that automatically remove these signals from generating change callbacks). Performing code replace of some actual code with pre-calculated intermediate expression values for further simulation performance improvements. Added "e" option to -inline-metrics which allows event coverage to be turned on/off independently of other combinational logic coverage. This allows further simulation and coverage performance improvements (especially for Verilator runs). Added optimization that causes code generation to be skipped for assertion files when assertion coverage is not required. Full regressions now runs cleanly with all code changes. Stable release covered-0.7.4 06/17/2009 10:21 PM Filed in: Releases Stable release covered-0.7.4 made. This is a bug fix release only. Updated regression files for the new 2.4 version of the OVL. Fixed bug 2804585. Memory reads in LHS part selects were not being marked for memory coverage. Fixed issue with VPI usage in a VCS simulation with generate statements. Fixed bug 2805191. Automatic tasks/functions that manipulate variables outside of the task/function can cause incorrect toggle coverage for those signals. Fixed bug 2806855. Generate blocks generating module instantiations could lead to score command errors (segfaults, internal assertion errors, etc.) Stable release covered-0.7.3 06/04/2009 10:22 PM Filed in: Releases Stable release covered-0.7.3 made. This primarily fixes a few bugs in the compile of Covered "out of the box". It seems that even with the regression testbench, things can still slip through the cracks :( Anyhow, please use this release instead of the 0.7.2 release. Stable release covered-0.7.2 05/09/2009 10:23 PM Filed in: Releases Stable release covered-0.7.2 made. This is primarily a bug fix release with a few new features added to the CLI. Here are the details of the changes. Fixed bug 2791651. Memory deallocation errors occurred when syntax errors were being reported by the parser. Fixed bug 2791599. Whitespace prior to a `line or #line directive were not being handled properly. Fixed bug 2794588. If a module was specified in a -v option after its directory was specified by the -y option to the score command, the module was not found for parsing. Fixed bug 2794684. If a normal (not generate) case statement within a generate block will output the case expression to be output to the CDD more than once, leading to internal assertion errors when the CDD file is read. Fixed bug 2795088. When a CDD file is opened from the wizard GUI window, the open file window can be placed behind the wizard window. Instead the wizard window should disappear once a selection button has been clicked. Fixed bug 2795086. If the user clicked on the global exclusion reason listbox when it is empty, a Tcl/Tk error message box was raised. Fixed bug 2795089. If the GUI detailed combinational logic window is used to view several expressions one after the other, Covered can segfault. Fixed bug 2795583. Score command segfaults when a module is instantiated within a generate block and overrides a parameter value within the module. Fixed bug 2795640. Variables instantiated within a generate block caused issues with Covered when simulated with VCS. Fixed bug where memory elements being assigned via non-blocking assignments were not being evaluated, leading to incorrect coverage output. CLI updates/fixes: When the 'debug on' command is specified, a line specifying that the debug mode is now on is output (previously nothing was output (because the debug mode was off). Changed the 'debug on' command to 'debug less' and 'debug more' where the prior only outputs the executed statements and timestep information during simulation while the latter outputs what 'debug on' used to output (extremely verbose). Fixed bug 2795209. When an unknown CLI command was specified, a memory error occurred. Fixed bug 2795215. Status bar was attempting to be output during simulation when debug mode was turned on. This created some unreadable/messy output. Changed the 'goto ' command to 'goto time '. Added 'goto line [:]' command which simulates until the specified line number is about to be simulated. Added 'goto expr ' command which simulates until the given expression evaluates to a value of true. Added support for handling the Ctrl-C interrupt when the score command is simulating with the -cli option specified. In this case, simulation will immediately stop and return a CLI prompt which will allow the user to continue interacting with the simulation. Updated user guide documentation to include the changes made to the CLI. Stable release covered-0.7.1 05/07/2009 10:24 PM Filed in: Releases Stable release covered-0.7.1 made. This is a bug fix release only. Here are the details: Fixed bug 2782473. CDD files being merged from different testbenches but with similar leading hierarchy (but different top-level modules) which would lead to internal assertion errors. Fixed bug 2785453. Wires declared in generated named scopes were not handled correctly by Covered in VPI mode of operation, leading to inaccurate coverage information. Fixed bug 2786986. An always block with a part select in the sensitivity list was triggering on the entire signal change rather than the specific part select, leading to a potential degradation in performance and inaccuracy in coverage information. Allow time variable types to be included for coverage. Fixing permission issue with the install-sh script that some people would get after first downloading and installing. Updated README and INSTALL files to be more accurate. Fixed coverage accuracy issue for code that uses variable part selects in LHS of expressions. Stable release covered-0.7 04/26/2009 10:24 PM Filed in: Releases Stable release covered-0.7 made. This is a significant improvement over the 0.6 release, providing Verilog language enhancements, significant score optimizations, new rank and exclude commands, an enhanced merging capability, a multitude of GUI enhancements, a complete overhaul of the user documentation, many bug fixes, and much more.
2016-10-08+ bind-9.11.0, isc-dhcp-4.3.5.taca1-2/+3
- roundcube-1.2.1.
2016-10-08Drop conflict with nonexistent covered-currentkamil1-3/+1
2016-10-08Note update of Roundcube Web mail to 1.2.2.taca1-1/+5
mail/roundcube mail/roundcube-plugin-enigma mail/roundcube-plugin-password mail/roundcube-plugin-zipdownload
2016-10-08Update roundcube-plugin-zipdownload to 1.2.2.taca1-5/+5
- Fix bug where names of downloaded files could be malformed when derived from the message subject (#5404)
2016-10-08Update roundcube-plugin-password to 1.2.2.taca1-5/+5
None except version.
2016-10-08Update roundcube-plugin-enigma to 1.2.2.taca1-5/+5
- Enigma: Add possibility to configure gpg-agent binary location (enigma_pgp_agent) - Enigma: Fix signature verification with some IMAP servers, e.g. Gmail, DBMail (#5371) - Enigma: Make recipient key searches case-insensitive (#5434)
2016-10-08Update roundcube to 1.2.2.taca2-7/+7
RELEASE 1.2.2 ------------- - Fix regression in resizing JPEG images with Imagick (#5376) - Managesieve: Fix parsing of vacation date-time with non-default date_format (#5372) - Use SymLinksIfOwnerMatch in .htaccess instead of FollowSymLinks disabled on some hosts for security reasons (#5370) - Wash position:fixed style in HTML mail for better security (#5264) - Fix bug where memcache_debug didn't work for session operations - Fix bug where Message-ID domain part was tied to username instead of current identity (#5385) - Fix bug where blocked.gif couldn't be attached to reply/forward with insecure content - Fix E_DEPRECATED warning when using Auth_SASL::factory() (#5401) - Fix bug where names of downloaded files could be malformed when derived from the message subject (#5404) - Fix so "All" messages selection is resetted on search reset (#5413) - Fix bug where folder creation could fail if personal namespace contained more than one entry (#5403) - Fix error causing empty INBOX listing in Firefox when using an URL with user:password specified (#5400) - Fix PHP warning when handling shared namespace with empty prefix (#5420) - Fix so folders list is scrolled to the selected folder on page load (#5424) - Fix so when moving to Trash we make sure the folder exists (#5192) - Fix displaying size of attachments with zero size - Fix so "Action disabled" error uses more appropriate 404 code (#5440)
2016-10-08Updated cad/covered to 0.4.7nb6kamil1-1/+2
2016-10-08Detach the cad/verilog-current dependencykamil1-3/+3
Bump PKGREVISION to 6.
2016-10-08Removed cad/covered-currentkamil1-1/+2
2016-10-08Drop covered-currentkamil6-273/+0
It used to track cad/covered but the last upgrade happened to be 20060904.
2016-10-08Detach cad/covered-currentkamil1-2/+1
2016-10-08Removed cad/verilog-currentkamil1-1/+2
2016-10-08Remove verilog-currentkamil8-183/+0
It used to track icarus verilog but there is no update since 20090923. No objections from <gdt>
2016-10-08Detach cad/verilog-currentkamil1-2/+1
2016-10-08Added databases/lua-sql-mysql version 2.3.2kamil1-1/+5
Added databases/lua-sql-postgres version 2.3.2 Added databases/lua-sql-sqlite version 2.3.2 Added databases/lua-sql-sqlite3 version 2.3.2
2016-10-08Added:kamil1-1/+5
- lua-sql-mysql - lua-sql-postgres - lua-sql-sqlite - lua-sql-sqlite3
2016-10-08Import SQLite 3.x luasql 2.3.2 as databases/lua-sql-sqlite3kamil3-0/+24
LuaSQL is a simple interface from Lua to a DBMS. It enables a Lua program to: * Connect to ODBC, Oracle, MySQL and PostgreSQL databases; * Execute arbitrary SQL statements; * Retrieve results in a row-by-row cursor fashion; This package provides access to SQLite 3.x.
2016-10-08Import SQLite 2.x luasql 2.3.2 as databases/lua-sql-sqlitekamil3-0/+24
LuaSQL is a simple interface from Lua to a DBMS. It enables a Lua program to: * Connect to ODBC, Oracle, MySQL and PostgreSQL databases; * Execute arbitrary SQL statements; * Retrieve results in a row-by-row cursor fashion; This package provides access to SQLite 2.x.
2016-10-08Import PostgreSQL luasql 2.3.2 as databases/lua-sql-postgreskamil3-0/+24
LuaSQL is a simple interface from Lua to a DBMS. It enables a Lua program to: * Connect to ODBC, Oracle, MySQL and PostgreSQL databases; * Execute arbitrary SQL statements; * Retrieve results in a row-by-row cursor fashion; This package provides access to PostgreSQL.
2016-10-08Import MySQL luasql 2.3.2 as databases/lua-sql-mysqlkamil5-0/+58
LuaSQL is a simple interface from Lua to a DBMS. It enables a Lua program to: * Connect to ODBC, Oracle, MySQL and PostgreSQL databases; * Execute arbitrary SQL statements; * Retrieve results in a row-by-row cursor fashion; This package provides access to MySQL.
2016-10-08Enable generic SQL backend support. Fixes pkg/51536. PKGREVISION++fhajny2-4/+6
2016-10-08This requires libdrm_amdgpu.so from x11/libdrm. Fix buildryoon1-1/+2
2016-10-08Updated devel/nss to 3.27.1ryoon1-1/+2
2016-10-08Update to 3.27.1ryoon2-8/+8
Changelog: The NSS team has released Network Security Services (NSS) 3.27.1. This is a patch release to address a TLS compatibility issue  that some applications experienced with NSS 3.27. Notable Changes: Availability of the TLS 1.3 (draft) implementation has been re-disabled in the default build. Previous versions of NSS made TLS 1.3 (draft) available only when compiled with NSS_ENABLE_TLS_1_3. NSS 3.27 set this value on by default, allowing TLS 1.3 (draft) to be disabled using NSS_DISABLE_TLS_1_3, although the maximum version used by default remained TLS 1.2. However, some applications query the list of protocol versions that are supported by the NSS library, and enable all supported TLS protocol versions. Because NSS 3.27 enabled compilation of TLS 1.3 (draft) by default, it caused those applications to enable TLS 1.3 (draft). This resulted in connectivity failures, as some TLS servers are version 1.3 intolerant, and failed to negotiate an earlier TLS version with NSS 3.27 clients.