summaryrefslogtreecommitdiff
path: root/make_tld_serv.pl
diff options
context:
space:
mode:
authorMarco d'Itri <md@linux.it>2003-03-16 15:30:18 +0100
committerMarco d'Itri <md@linux.it>2013-03-30 02:31:29 +0100
commit77049c1b5d5e75bf576b12ff265632571a5a08ad (patch)
treeec609a9b82a301cb86144d4a9a9882dafec39dd7 /make_tld_serv.pl
parent312adb125b774e77c1a6e6115dd4bd9440cea1d2 (diff)
downloadwhois-77049c1b5d5e75bf576b12ff265632571a5a08ad.tar.gz
Imported Debian version 4.6.3v4.6.3
Diffstat (limited to 'make_tld_serv.pl')
-rwxr-xr-xmake_tld_serv.pl3
1 files changed, 2 insertions, 1 deletions
diff --git a/make_tld_serv.pl b/make_tld_serv.pl
index 0224084..dfe51c8 100755
--- a/make_tld_serv.pl
+++ b/make_tld_serv.pl
@@ -11,8 +11,9 @@ while (<>) {
(my ($a, $b) = /^([\w\d\.-]+)\s+([\w\d\.:-]+|[A-Z]+\s+.*)$/);
$b =~ s/^W(?:EB)?\s+/\\001/;
$b =~ s/^M(?:SG)?\s+/\\002/;
- $b = "\\004" if $b eq 'CRSNIC';
$b = "\\003" if $b eq 'NONE';
+ $b = "\\004" if $b eq 'CRSNIC';
+ $b = "\\007" if $b eq 'PIR';
print " \"$a\",\t\"$b\",\n";
}